Commit graph

30 commits

Author SHA1 Message Date
Xiretza ea8e6d4b49 vhdl: only run encoders once all pixels are received 2022-06-06 18:38:56 +02:00
Xiretza 62a06c6bcd Update ws2812 submodule 2022-06-06 18:38:43 +02:00
Xiretza b97c708148 vhdl: implement bounds checking for strand number 2022-06-06 18:25:27 +02:00
Xiretza bad48d0e9b vhdl: workaround ghdl#2080
https://github.com/ghdl/ghdl/issues/2080
2022-06-06 18:16:31 +02:00
Xiretza dc31375b55 vhdl: use big-endian network byte order
liteeth splits the rx data stream into 4-byte chunks and interprets them as
little-endian 32-bit vecs; similar for the other direction.
2022-06-06 18:13:21 +02:00
Xiretza 4bced13726 vhdl: implement magic number on receive 2022-06-06 17:11:39 +02:00
Xiretza 904f34f4d4 vhdl: implement frame number checking 2022-06-06 16:45:16 +02:00
Xiretza 4d07ec3fa1 vhdl: reorganize receive FSM to avoid ghdl issues
https://github.com/ghdl/ghdl/issues/2077
https://github.com/ghdl/ghdl/issues/2078
2022-06-06 15:24:49 +02:00
Xiretza 40caa85d92 vhdl: implement multiple strands 2022-06-06 12:58:41 +02:00
Xiretza 24e3b11588 Use little endian byte order in network streams 2022-06-06 10:29:45 +02:00
Xiretza ccd911dc1e vhdl: implement feedback packets 2022-06-05 22:56:29 +02:00
Xiretza d0e65a3126 vhdl: remove unused signals 2022-06-05 22:27:16 +02:00
Xiretza 79fce1afc1 vhdl: disable test UDP sender 2022-06-05 21:36:10 +02:00
Xiretza 9121ccfdbe vhdl: implement setting LEDs via UDP 2022-06-05 21:36:10 +02:00
Xiretza d5b0ee2cfa vhdl: rename NUM_DRIVERS to NUM_STRANDS 2022-06-05 21:36:10 +02:00
Xiretza 2ec250e79d vhdl: rename clk_sys to sys_clk 2022-06-05 21:36:10 +02:00
Xiretza 57e6daedcc vhdl: move ws2812 driver to splink module 2022-06-05 21:36:10 +02:00
Xiretza 94ff182aec Add README.md 2022-06-05 16:36:06 +02:00
Xiretza 01fe200d92 Pixel UDP port demo 2022-06-05 16:35:20 +02:00
Xiretza ba1aa9181e vhdl: add ws2812 demo 2022-06-05 13:10:19 +02:00
Xiretza ffbe87f1f1 Add ws2812 submodule 2022-06-05 10:21:44 +02:00
Xiretza de6a38044b vhdl: assert reset if PLL is not locked 2022-06-04 21:53:14 +02:00
Xiretza d624673804 Add liteeth core 2022-06-04 21:51:28 +02:00
Xiretza e7087eb7db makefile: switch to nextpnr toolchain 2022-06-04 21:19:37 +02:00
Xiretza 608c17d1a8 makefile: use relative paths 2022-06-04 21:19:26 +02:00
Xiretza 8257886f6b Add nextpnr-xilinx makefile 2022-06-04 21:18:57 +02:00
Xiretza 63557ba83f fix(Makefile.symbiflow): fix read_verilog yosys command 2022-06-03 22:18:09 +02:00
Xiretza eedf254b15 fix(gen_liteeth): fix configurations 2022-06-03 22:17:13 +02:00
Xiretza d6687786a7 Add basic tools and VHDL skeleton 2022-06-03 19:11:07 +02:00
Xiretza 5a9bb94922 Initial empty commit 2022-05-11 18:15:30 +02:00