dipl/sections/core/entities/program_counter_entity.vhd

9 lines
203 B
VHDL
Raw Normal View History

2020-03-27 12:51:39 +01:00
entity program_counter is
port (
clk : in std_logic;
reset : in std_logic;
operation : in pc_operation_t;
pc_in : in yarm_word;
pc_out : out yarm_word
);
end program_counter;