entity program_counter is port ( clk : in std_logic; reset : in std_logic; operation : in pc_operation_t; pc_in : in yarm_word; pc_out : out yarm_word ); end program_counter;