Compare commits

...

3 Commits

Author SHA1 Message Date
Xiretza 5fb8d3a176 gitignore: ignore __pycache__ 2022-07-14 15:59:32 +02:00
Xiretza f026fcfe5c fix: workaround nextpnr-xilinx#42 2022-07-14 15:59:32 +02:00
Xiretza 77c602c8fd fix: reorganize outputs to 6 strands per PMOD 2022-07-14 15:59:32 +02:00
2 changed files with 16 additions and 4 deletions

1
.gitignore vendored
View File

@ -1,2 +1,3 @@
/work/
*.o
__pycache__

View File

@ -36,7 +36,7 @@ entity arty_a7 is
-- when necessary
pmod_a : out std_logic_vector(7 downto 0);
pmod_b : out std_logic_vector(7 downto 0);
pmod_c : in std_logic_vector(7 downto 0);
pmod_c : out std_logic_vector(7 downto 0);
pmod_d : out std_logic_vector(7 downto 0);
clock_100mhz : in std_logic;
@ -320,9 +320,20 @@ begin
sys_reset <= not pll_locked or not n_reset;
pmod_a <= drivers(7 downto 0);
pmod_b <= drivers(15 downto 8);
pmod_d <= drivers(23 downto 16);
pmod_a <= "00" & drivers(5 downto 0);
pmod_b <= "00" & drivers(11 downto 6);
pmod_c(7) <= '0';
pmod_c(6) <= '0';
pmod_c(5) <= drivers(17);
pmod_c(4) <= drivers(16);
pmod_c(3) <= drivers(15);
pmod_c(2) <= drivers(14);
-- workaround for https://github.com/gatecat/nextpnr-xilinx/issues/42#issuecomment-1183525828
pmod_c(1) <= drivers(12);
pmod_c(0) <= drivers(13);
pmod_d <= "00" & drivers(23 downto 18);
sender: process(sys_clk)
begin