diff --git a/vhdl/arty_a7.vhdl b/vhdl/arty_a7.vhdl index 061690a..933b5ff 100644 --- a/vhdl/arty_a7.vhdl +++ b/vhdl/arty_a7.vhdl @@ -307,7 +307,7 @@ begin if counter = COUNTER_MAX then pixel_sink_length <= std_logic_vector(to_unsigned(NUM_WORDS, 16)); pixel_sink_data <= std_logic_vector(to_unsigned(16#30# + words_sent, 32)); - pixel_sink_valid <= '1'; + --pixel_sink_valid <= '1'; pixel_sink_last <= '1' when words_sent = NUM_WORDS-1 else '0';