diff --git a/vhdl/arty_a7.vhdl b/vhdl/arty_a7.vhdl index b9c388a..24a6a46 100644 --- a/vhdl/arty_a7.vhdl +++ b/vhdl/arty_a7.vhdl @@ -322,7 +322,17 @@ begin pmod_a <= "00" & drivers(5 downto 0); pmod_b <= "00" & drivers(11 downto 6); - pmod_c <= "00" & drivers(17 downto 12); + + pmod_c(7) <= '0'; + pmod_c(6) <= '0'; + pmod_c(5) <= drivers(17); + pmod_c(4) <= drivers(16); + pmod_c(3) <= drivers(15); + pmod_c(2) <= drivers(14); + -- workaround for https://github.com/gatecat/nextpnr-xilinx/issues/42#issuecomment-1183525828 + pmod_c(1) <= drivers(12); + pmod_c(0) <= drivers(13); + pmod_d <= "00" & drivers(23 downto 18); sender: process(sys_clk)