From 24e3b11588fb2f8a546a258029e4329bf9b552c2 Mon Sep 17 00:00:00 2001 From: Xiretza Date: Mon, 6 Jun 2022 10:29:45 +0200 Subject: [PATCH] Use little endian byte order in network streams --- vhdl/splink.vhdl | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/vhdl/splink.vhdl b/vhdl/splink.vhdl index b4a05f5..7e4667e 100644 --- a/vhdl/splink.vhdl +++ b/vhdl/splink.vhdl @@ -51,9 +51,9 @@ begin led_addr => led_addr, - led_red => current_color(7 downto 0), + led_red => current_color(23 downto 16), led_green => current_color(15 downto 8), - led_blue => current_color(23 downto 16), + led_blue => current_color(7 downto 0), dout => driver_out );