diff --git a/vhdl/splink.vhdl b/vhdl/splink.vhdl index b4a05f5..7e4667e 100644 --- a/vhdl/splink.vhdl +++ b/vhdl/splink.vhdl @@ -51,9 +51,9 @@ begin led_addr => led_addr, - led_red => current_color(7 downto 0), + led_red => current_color(23 downto 16), led_green => current_color(15 downto 8), - led_blue => current_color(23 downto 16), + led_blue => current_color(7 downto 0), dout => driver_out );