#!/usr/bin/env sh set -eu mkdir -p workdir ghdl analyze --std=08 --workdir=workdir parser.vhd verifier.vhd top.vhd sim.vhd ghdl elab-run --std=08 --workdir=workdir sim -gSTEP=1 -gFILENAME="../input.txt" ghdl elab-run --std=08 --workdir=workdir sim -gSTEP=2 -gFILENAME="../input.txt"