Revert "day2/vhdl: workaround ghdl#1529"

This reverts commit a73387e367.
This commit is contained in:
Xiretza 2020-12-06 16:48:03 +01:00
parent 6df952815f
commit 1ce33bb4af
Signed by: xiretza
GPG Key ID: 17B78226F7139993
1 changed files with 1 additions and 1 deletions

View File

@ -30,7 +30,7 @@ architecture behaviour of parser is
function char_to_digit(input : in character) return digit is
begin
if not (input < '0') and input <= '9' then
if input >= '0' and input <= '9' then
return character'pos(input) - character'pos('0');
else
return 0;