advent-of-code/2021/day1/vhdl/run.sh

9 lines
127 B
Bash
Executable file

#!/bin/bash
source "$COMMON_DIR/vhdl_run.sh"
cd "$(dirname "${BASH_SOURCE[0]}")"
DUT_OUTPUT_WIDTH=12
test_sim day1 top.vhdl