Revert "2020 day2/vhdl: workaround ghdl#1529"

This reverts commit 2f1277c4b11de75e39bec5f83d0ec6446a1d07f2.
This commit is contained in:
Xiretza 2020-12-06 16:48:03 +01:00
parent 11b9dcb199
commit 9dbea8448c

View file

@ -30,7 +30,7 @@ architecture behaviour of parser is
function char_to_digit(input : in character) return digit is
begin
if not (input < '0') and input <= '9' then
if input >= '0' and input <= '9' then
return character'pos(input) - character'pos('0');
else
return 0;