dipl/bibliographies/AB.bib

137 lines
3.6 KiB
BibTeX

@online{fsf-definition,
author = {Free Software Foundation},
title = {What is free software?},
url = {https://www.fsf.org/about/what-is-free-software},
urldate = {2020-03-31},
}
@online{nandgame,
author = {Olav Junker Kjær},
title = {The Nand Game},
url = {http://nandgame.com},
urldate = {2020-03-29},
}
@online{breadboard_computer,
author = {Ben Eater},
title = {Building an 8-bit breadboard computer!},
url = {https://www.youtube.com/playlist?list=PLowKtXNTBypGqImE405J2565dvjafglHU},
urldate = {2020-03-29},
year = {2016},
}
@unpublished{yosys-paper,
author = {Clifford Wolf, Johann Glaser},
title = {Yosys - A Free Verilog Synthesis Suite},
url = {http://www.clifford.at/yosys/files/yosys-austrochip2013.pdf},
urldate = {2020-03-29},
year = {2013},
}
@software{yosys,
author = {Various Contributors},
title = {Yosys - Yosys Open SYnthesis Suite},
url = {https://github.com/YosysHQ/yosys},
urldate = {2020-03-29},
}
@software{nextpnr,
author = {Various Contributors},
title = {nextpnr - a portable FPGA place and route tool},
url = {https://github.com/YosysHQ/nextpnr},
urldate = {2020-03-29},
}
@software{nextpnr-xilinx,
author = {David Shah},
title = {nextpnr-xilinx},
url = {https://github.com/daveshah1/nextpnr-xilinx},
urldate = {2020-03-29},
}
@online{prjxray,
author = {SymbiFlow},
title = {Project X-Ray},
url = {https://github.com/SymbiFlow/prjxray},
urldate = {2020-03-29},
}
@software{ghdlsynth-beta,
author = {Tristan Gingold},
title = {ghdlsynth-beta},
url = {https://github.com/tgingold/ghdlsynth-beta},
urldate = {2020-03-29},
}
@software{ghdl,
author = {Tristan Gingold},
title = {ghdl},
url = {https://github.com/ghdl/ghdl},
urldate = {2020-03-29},
}
@software{liteeth,
author = {Florent Kermarrec},
title = {LiteEth},
url = {https://github.com/enjoy-digital/liteeth},
urldate = {2020-03-29},
}
@software{litedram,
author = {Florent Kermarrec},
title = {LiteDRAM},
url = {https://github.com/enjoy-digital/litedram},
urldate = {2020-03-29},
}
@software{open-fpga-loader,
author = {Gwenhael Goavec-Merou},
title = {openFPGALoader},
url = {https://github.com/trabucayre/openFPGALoader},
urldate = {2020-03-29},
}
@software{gtkwave,
author = {Tony Bybell},
title = {GTKWave},
url = {http://gtkwave.sourceforge.net},
urldate = {2020-03-29},
}
@inbook{vhdl-types,
author = {Klaus Fricke},
title = {Digitaltechnik - Lehr- und Übungsbuch für Elektrotechniker und Informatiker},
publisher = {Springer Vieweg},
year = {2013},
doi = {10.1007/978-3-8348-2213-0},
chapter = {15.3},
}
@online{riscv-compliance,
author = {Jeremy Bennett, Lee Moore},
title = {RISC-V Compliance Task Group},
url = {https://github.com/riscv/riscv-compliance},
urldate = {2020-03-29},
}
@online{gitlab-ci,
title = {GitLab CI/CD},
url = {https://docs.gitlab.com/ee/ci/},
urldate = {2020-03-29},
}
@online{symbiyosys-slides,
author = {Clifford Wolf},
title = {Formal Verification withSymbiYosys and Yosys-SMTBMC},
url = {http://www.clifford.at/papers/2017/smtbmc-sby/slides.pdf},
urldate = {2020-03-29},
}
@reference{riscv-spec-unprivileged,
authors = {Andrew Waterman, Krste Asanović},
title = {The RISC-V Instruction Set Manual - Volume I: Unprivileged ISA},
year = {2019},
url = {https://content.riscv.org/wp-content/uploads/2019/12/riscv-spec-20191213.pdf},
urldate = {2020-03-29},
}