set_property LOC D9 [get_ports clk] set_property LOC C9 [get_ports reset] set_property LOC A8 [get_ports enable] set_property LOC C11 [get_ports direction] set_property LOC F6 [get_ports count_out[0]] set_property LOC J4 [get_ports count_out[1]] set_property LOC J2 [get_ports count_out[2]] set_property LOC H6 [get_ports count_out[3]] set_property LOC H5 [get_ports count_out[4]] set_property LOC J5 [get_ports count_out[5]] set_property LOC T9 [get_ports count_out[6]] set_property LOC T10 [get_ports count_out[7]] set_property IOSTANDARD LVCMOS33 [get_ports clk] set_property IOSTANDARD LVCMOS33 [get_ports reset] set_property IOSTANDARD LVCMOS33 [get_ports enable] set_property IOSTANDARD LVCMOS33 [get_ports direction] set_property IOSTANDARD LVCMOS33 [get_ports count_out[0]] set_property IOSTANDARD LVCMOS33 [get_ports count_out[1]] set_property IOSTANDARD LVCMOS33 [get_ports count_out[2]] set_property IOSTANDARD LVCMOS33 [get_ports count_out[3]] set_property IOSTANDARD LVCMOS33 [get_ports count_out[4]] set_property IOSTANDARD LVCMOS33 [get_ports count_out[5]] set_property IOSTANDARD LVCMOS33 [get_ports count_out[6]] set_property IOSTANDARD LVCMOS33 [get_ports count_out[7]]