From b9fa071e768efac2739c763d64348497101c5383 Mon Sep 17 00:00:00 2001 From: Xiretza Date: Fri, 20 Sep 2019 17:32:06 +0200 Subject: [PATCH 01/11] Initial import --- Diplomschrift.tex | 1031 +++++++++++++++++++++++++++++++++++++++++ pics/HTLgenlogo02.png | Bin 0 -> 3936 bytes pics/logoBpdf.pdf | 84 ++++ 3 files changed, 1115 insertions(+) create mode 100644 Diplomschrift.tex create mode 100644 pics/HTLgenlogo02.png create mode 100644 pics/logoBpdf.pdf diff --git a/Diplomschrift.tex b/Diplomschrift.tex new file mode 100644 index 0000000..d82b324 --- /dev/null +++ b/Diplomschrift.tex @@ -0,0 +1,1031 @@ +%xhversion{v3.01 SiU} %PdJ,PdL,PdM,PdS,PdU,Pe6,PeI,PfB,PfD,RbN,RbP,RcL,RdC,RdD,RdF,Sb7,ScN,SiT,SiU,Sj3, +%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% +% Hinweise: +%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% +% die auskommentierten 'usepackage'-Anweisungen sind +% Alternativen oder Zusaetze, die iXH hier nicht, +% aber vielleicht DU brauchen kannst - XH +%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% +% XH Herstellungsprozess-Shellscript @15Apr17: +% -------------------------------------------- +% a) wenn keine 'ps'-Macros genutzt werden: +% #!/bin/sh +% pdflatex $ifn +% pdflatex $ifn +% b) mit 'ps' Macros (zB. 'pspicture') im Source: +% #!/bin/sh +% ifn="MeinLatexFile.tex" +% latex $ifn +% fn2="$(echo $ifn|sed s/.tex/.dvi/)" +% fn3="$(echo $ifn|sed s/.tex/-pics.pdf/)" +% ### now dvipdf $fn2 into $fn3 Container ... +% dvipdf $fn2 $fn3 +% #dvipdf $(echo $ifn|sed s/.tex/.dvi/) +% ### now pdflateXing $ifn ... (zweimal - fuers Inhaltsverzeichnis) +% pdflatex $ifn +% pdflatex $ifn +%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% +\listfiles %lists included files while processing 'pdflatex' +\documentclass[12pt,a4paper]{article} + %\documentclass[12pt,a4paper]{book} + %\documentclass[11pt,a4paper]{article} + %\documentclass[12pt,a4paper]{report} + + %\usepackage{etex} %gegen 'no more room for new dimen...' error bei 'tikz' xh@RaE1 + + % encoding: + %%\usepackage[latin1]{ucs} + %%\usepackage[latin1]{inputenc} + %%\usepackage[ansinew]{inputenc} + %%\usepackage[cp850]{inputenc} + %\usepackage[utf8x]{inputenc} +\usepackage[utf8]{inputenc} +\usepackage[ngerman]{babel} +\usepackage[T1]{fontenc} + + %\usepackage{amssymb} +\usepackage{amsmath} + %\usepackage{extarrows} %\xleftrightarrow[obentext]{untentext} +\usepackage{wasysym} +\usepackage{pxfonts} +\usepackage{verbatim} +\usepackage{alltt} +\usepackage{moreverb} +\usepackage{graphicx} +\usepackage{wrapfig} +\usepackage{subfigure} + %\usepackage{theorem} + %\usepackage[dvips]{color} + %\usepackage{lmodern} + %\usepackage{textcomp} +\usepackage{multicol} % 2-, 3-, ... -spaltige Formatierung mit 'multicols' +\usepackage{multirow} % fuer 'tabular' - Tabellen + %\usepackage{makeidx} +\usepackage{imakeidx} % erspart dieses externe Stichwortprogramm + %\usepackage{pdfpages} % fuer 'includepdf' (stattdessen nimmi meistens 'includegraphics[page=1,...]') +\usepackage{mdwlist} % f. 'compact lists' "itemize*", "enumerate*", "description*" + %\usepackage{ulem} %... produziertma nFehler ban 'latex' run +\usepackage{longtable} % fuer tabellen ueber mehrere Seiten +\usepackage{xcolor} + \definecolor{lltgy} {gray}{0.96} % selbstdefinierte Farben + \definecolor{ltgy} {gray}{0.91} + \definecolor{dkgn} {rgb}{0.0,0.7,0.0} + \definecolor{dkbu} {rgb}{0.0,0.0,0.6} + \definecolor{ddkbu} {rgb}{0.0,0.0,0.45} + +\usepackage{ifthen} % fuer 'ifthenelse{}{}{}' +\usepackage{ifpdf} % fuer {\ifpdf ... \fi} +\usepackage{listings} +\lstset{language=C} +\lstset{basicstyle=\tiny} + %\lstset{basicstyle=\small} + %\lstset{basicstyle=\normalsize} +\lstset{backgroundcolor=\color{lightgrey}} +\lstset{showstringspaces=false} +\lstset{breaklines=true} + %\lstset{tabsize=4} +\lstset{morecomment=[l][\color{dkgn}]{\%},% + morecomment=[s][\color{dkgn}]{/*}{*/}} +\lstset{numbers=left} + +\usepackage{fancyhdr} + %\usepackage{framed} %'\begin{framed}' ... '\end{framed}', schautAusWiePartezettel:-) +\usepackage{hyphenat} %fuer '\hyph{}' +\usepackage{lastpage} %fuer '\pageref{LastPage}' - **funzt nid bei allen** +\usepackage{url} %fuer '\url{...}' + +% lscape oder pdflscape: ('landscape' == Querformat) +\usepackage{lscape} + %\usepackage{pdflscape} +\usepackage{rotating} %f. 'rotate' und 'turn' +\usepackage[active]{pst-pdf} +\usepackage{pst-circ} +\usepackage{pst-plot} +\usepackage{pst-uml} + %\usepackage{calc} +\usepackage{fp} + %\usepackage[official]{eurosym} +\usepackage[gen]{eurosym} + + % YHs Raender links 30mm rechts 25mm einstellen: +\setlength{\hoffset} {30mm-1in} +\setlength{\oddsidemargin}{0pt} %bei doppelseitigem Druck umstellen! +\setlength{\textwidth} {\paperwidth-55mm} + +\setlength{\topmargin} {0pt} +\addtolength{\voffset} {-16.2mm} +\addtolength{\textheight}{39mm} + +\setcounter{tocdepth}{4} %bringt auch 'paragraph{titel}' ins Inhaltsverzeichnis +% +\definecolor{ydkbu}{rgb}{0.0,0.0,0.6} % YHs blaue Schriftfarb +\newcommand{\yhbu}[0]{\color{ydkbu}} % Macro fuer schreibfaulen XH +%\newcommand{\yhbu}[0]{\color{ydkbu}\usefont{T1}{laess}{m}{n}} % Macro fuer schreibfaulen XH +\definecolor{corrclr}{rgb}{0.7,0.2,0.2} % XHs Korrekturen-Farb ... +\newcommand{\korr}[0]{\color{corrclr}\fontsize{8pt}{9pt}\selectfont\bf} %plus Faulheitsmacro +\makeindex + + %/* Line Spacing: */ +\usepackage{setspace} + + + %/* selbst-definierte Macros: */ +\newcommand{\cmnt}[1]{} %eigene Kommentier-Funktion \cmnt{ ...Kommentar... } +\newcommand\tbs{\textbackslash} %'\textbackslash{}' isma z'long zan tippen ;-) +\newcommand{\xilist}[6]{ %XHs eigenes Auflistungs-Format + \noindent\\[#3mm]\protect\begin{list}{#1}{\setlength\topsep{-4mm}% + \setlength\partopsep{-1.0mm}\setlength\itemsep{#4mm}\setlength\leftmargin{#2mm}% + \setlength\itemindent{-0.0mm}\setlength{\baselineskip}{0.9\baselineskip} }% + #6% + \protect\end{list}\hfill\\[#5mm]% +} + + %/* eineinhalbzeilige Formatierung: */ +% \newcommand{\mylinespacing}[0]{\singlespace} % 1,0-ZeilenAbstand +\newcommand{\mylinespacing}[0]{\onehalfspace} % 1,5-ZeilenAbstand +% \newcommand{\mylinespacing}[0]{\doublespace} % 2,0-ZeilenAbstand + + + %/* serifenlose Schrift-Grundeinstellung: */ +% /*Font Family:*/ +%\renewcommand*{\familydefault}{\rmdefault} %klassisches 'Roman' (statt MicroMurx...) +\renewcommand*{\familydefault}{\sfdefault} %klassisches 'Helvetica' statt 'Murx-Arial' + +%=================================================== +\begin{document} +%\addtocontents{toc}{\protect\begin{multicols}{2}} %-fuer mehrspaltiges Inh.Verz + +\newcommand\logoB[1]{% + %dieses Macro 'logoB' zeichnet das "neue" HTL Logo mithilfe der + % 'ps-tricks' Pakete/Anweisungen; Parameter#1 bestimmt die "Dicke" + % der Balken; die "Groesse" bitte mit '\scalebox{factor}{logoB{0.12}}', + % die Grundlinie mit '\raisebox{pos}{logoB{0.12}}' einstellen; + % die Farbgebung spezifiziert man HIER: + \definecolor{lobu}{rgb}{0.05,0.05,0.50} + \definecolor{hibu}{rgb}{0.20,0.20,0.70} + \definecolor{loye}{rgb}{0.85,0.75,0.36} + \definecolor{hiye}{rgb}{0.99,0.92,0.00} + \definecolor{logn}{rgb}{0.00,0.65,0.20} + \definecolor{hign}{rgb}{0.00,0.79,0.30} + \definecolor{lord}{rgb}{0.66,0.00,0.00} + \definecolor{hird}{rgb}{0.89,0.00,0.00}% + \resizebox{11.5mm}{!}{% + \begin{pspicture}[showgrid=false](-1,-1)(1,1) + \SpecialCoor %das erlaubt PS -Berechnungen mit dem '!'; hier zur "DickenSkalierung" + \pspolygon[linewidth=0.1pt,linestyle=none,fillcolor=lobu,fillstyle=solid]% + (-#1, -1.00)( #1, -1.00)( 1.00, -#1)(! 1.00 #1 2 mul sub -#1) + \pspolygon[linewidth=0.1pt,linestyle=none,fillcolor=hibu,fillstyle=solid]% + (! 1.00 #1 2 mul sub -#1)(! 1.00 #1 3 mul sub 0.00)% + (! -#1 -1.00 #1 2 mul add)(-#1,-1.00) + + \pspolygon[linewidth=0.1pt,linestyle=none,fillcolor=hiye,fillstyle=solid]% + ( 1.00, -#1)( 1.00, #1)( #1, 1.00)(! #1 1.00 #1 2 mul sub) + \pspolygon[linewidth=0.1pt,linestyle=none,fillcolor=loye,fillstyle=solid]% + (! #1 1.00 #1 2 mul sub)(! 0.00 1.00 #1 3 mul sub)% + (! 1.00 #1 2 mul sub -#1)( 1.00, -#1) + + \pspolygon[linewidth=0.0pt,linestyle=none,fillcolor=hign,fillstyle=solid]% + ( #1, 1.00)( -#1, 1.00)(-1.00, #1)(! -1.00 #1 2 mul add #1) + \pspolygon[linewidth=0.0pt,linestyle=none,fillcolor=logn,fillstyle=solid]% + (! -1.00 #1 2 mul add #1)(! -1.00 #1 3 mul add 0.00)% + (! #1 1.00 #1 2 mul sub)( #1, 1.00) + + \pspolygon[linewidth=0.1pt,linestyle=none,fillcolor=lord,fillstyle=solid]% + (-1.00, #1)(-1.00, -#1)(-#1, -1.00)(! -#1 -1.00 #1 2 mul add) + \pspolygon[linewidth=0.1pt,linestyle=none,fillcolor=hird,fillstyle=solid]% + (! -#1 -1.00 #1 2 mul add)(! 0.00 -1.00 #1 3 mul add)% + (! -1.00 #1 2 mul add #1)(-1.00, #1) + \NormalCoor + \end{pspicture}% + }% +} + +\newcommand{\HtlHeader}[0]{% + %\hspace*{-11mm}% + %\raisebox{-1mm}{\logoB{0.12}}% + {\ifpdf + \includegraphics[width=10.3mm]{pics/logoBpdf.pdf} + \fi} + \hspace*{2mm}% + \parbox[b]{110mm}{\flushleft + {\fontsize{20pt}{20pt}\selectfont\bf HTL} + {\fontsize{16.2pt}{16.2pt}\selectfont\color{teal}\bf anichstra"se} + \\[-4.05mm]{\color{darkgray}\rule{110mm}{0.5pt}} + \\[-2.24mm]{\fontsize{7pt}{7pt}\selectfont\color{darkgray} + Elektronik $\cdot$ Elektrotechnik $\cdot$ + Maschinenbau $\cdot$ Wirtschaftsingenieure + \rule{0pt}{0mm} + %\vspace*{1.1mm} + } + }% + \hspace*{5mm}% + \raisebox{-0.2mm}{\ifpdf \includegraphics[width=25mm]{pics/HTLgenlogo02}\fi} + \\[-1.5mm]\rule{\textwidth}{0.5pt} + %\hfill +}%HtlHeader + + + + + + + + + %/* Deckblatt */ +\begin{titlepage} + \begin{center} + \begin{minipage}{\linewidth} + \begin{center} + \vspace*{-14mm} + \HtlHeader{} + \noindent% + \\[19mm]{\fontsize{24pt}{24pt}\selectfont\bf Vorlage f"ur die DIPLOMARBEIT} + \\[17mm]{\fontsize{12pt}{12pt}\selectfont\color{black}an der\\ + Höheren Technischen Bundeslehr- und Versuchsanstalt Anichstra"se} + \\[10mm] Version: 1.0 + \\[ 5mm] g"ultig ab: 19.09.2018 + \\[ 5mm] Verteilermethode: schulintern --- moodle + \\[ 5mm] Dokumentenstatus: \underline{freigegeben} + \\[ 5mm] Es darf nur mit aktuellen Originaldokumenten gearbeitet werden. + \\[ 5mm]{\color{red}Diese Seite 3 und die folgenden 2 Seiten dienen der Dokumentenlenkung} + \\[ 2mm]{\color{red}und m"ussen in der Diplomarbeit gel"oscht werden.} + \end{center}\hfill + \end{minipage} + \end{center} + \noindent\\[-2mm] "Anderungshistorie: + \\[ 0mm]\begin{tabular}{|p{16mm}|p{38mm}|p{38mm}|p{38mm}|} + \hline + Datum &"Anderungsgrund &"Anderungsstand &Verantwortliche \\\hline + &&&\\\hline + &&&\\\hline + &&&\\\hline + &&&\\\hline + &&&\\\hline + \end{tabular} + {\fontsize{10pt}{10pt}\selectfont + \noindent\\[9mm]\begin{tabular}{|p{43.4mm}|p{47mm}|p{44mm}|} + \hline + \rule{0pt}{2ex}% + Erstellt: &gepr"uft &Freigegeben: \\\hline + \rule{0pt}{2ex}% + 19.09.2018, YH &17.09.2018, FI &19.09.18 ARGE DA\\\hline + \rule{0pt}{2ex}% + Datum, Ersteller &Datum, Qualit"atsbeauftragter &Datum, Direktion\\\hline + \end{tabular} + } +\end{titlepage} + + + + + + %/*Header-Einstellung*/ +\pagestyle{fancy} +\fancyhf{} +\renewcommand{\sectionmark}[1]{\markright{#1}} +\renewcommand{\subsectionmark}[1]{\markright{#1}} +\renewcommand{\subsubsectionmark}[1]{\markright{#1}} +\lhead{\HtlHeader} +\chead{} +\rhead{} +\lfoot{Autor/Name} +\cfoot{\thesection-\rightmark} +%\cfoot{\thesubsubsection-\rightmark} +\rfoot[\thepage]{\thepage/\pageref{LastPage}} +\setlength{\headwidth} {1.0\textwidth} +\setlength{\headheight}{12mm} +\renewcommand{\headrulewidth}{0.0pt} +\renewcommand{\footrulewidth}{0.33pt} + + + +\addtocounter{page}{1} + + +%==================================================================================== +%Liebe LaTeXniker! +%hierher kaeme das Inhaltsverzeichnis, empfehlenswerterweise mit Seitenwechsel +%\clearpage %erzwingt Ausdruck noch ungedruckter 'floats' +%\vfill %fuellt die Seite mit Leerraum auf +%\newpage %erzwingt Seitenumbruch +%\tableofcontents +%==================================================================================== + + + + + + + + + + + + + +%==================================================================================== +\cmnt{ + Hier anfangs the Document Text. + ("\cmnt" isa self written very simple Macro for Kommentare: + \newcommand{\cmnt}[1]{ } + ! ! ! + ! ! +--- what to do, here also nix + ! +------ number of Parameters: se Kommentar-Text + +----------- name of new command + ) + + The "\yhbu" colored Sections are Vorgaben (recommendations) by AV YH. + All se blue Zuig have to verschwind in se final version of your Diplomschrift(DS). + (iXH recommend not to translate the words 'Diplomschrift' or 'Diplomarbeit' + into 'diploma document', 'diploma project' or such Kas, + because the original words are defined in se Austrian Law, Verordnungen + and derlei rechtlix Plunder; so it is like an Eingenname, + which we also dont uebersetz: + You dont traslate 'HTL' to 'UTEC' (upper technical education corporation) + or + 'Hansi' ('H', 'ans', 'i') 'Meier' ('M' and 'eier') into 'Ageoneeye Emeggs' + oder?? ) + + ("\yhbu" Macro see above; is also a selber-defined macro + using se 'xcolor' package: + \definecolor{ydkbu} {rgb}{0.0,0.0,0.5} %make a Farb-Name + ! ! ! ! +--- Blau-Anteil + ! ! ! +------- Gruen-Anteil + ! ! +----------- Rot-Anteil + ! +----------------- Farbmodell 'RGB' + +---------------------------- name of se new Farb + \newcommand{\yhbu}[0]{\color{ydkbu}} %define se new macro + ! ! +--------- schreib des in LaTeX-Text eini + ! +----------------- 0 = null parameters, also keine + +---------------------- name of macro + -> "\yhbu" gets replaced by "\color{ydkbu}" + (what does this bring?: + you can later change se color for all se "yhbu" parts gemeinsam + without wurschtling through the whole document; + also wenn mir die Farb no nid gfallt, aendris uanfoch in Macro) + + You can change the + line spacing (einzeilig, 1.5zeilig und so) + by schreibing one of + \newcommand{\mylinespacing}[0]{\singlespace} + \newcommand{\mylinespacing}[0]{\onehalfspace} + \newcommand{\mylinespacing}[0]{\doublespace} + and using '\mylinespacing' in se document preamble (=header) part + and the + font family + (Roman(serif) or se ugly Arial/Helvetica(sanserif)) + writing + \renewcommand*{\familydefault}{\rmdefault} + \renewcommand*{\familydefault}{\sfdefault} + weiter oben in se preamble (isch bei se Macos oben) + + Be free to change se Deckblatt + and se HTL-Header (dann isches aber nimma 'der HTL-Header'! ...and se AV will fauch on you) + + mirXH persoenlich gfollaz besser min HTL-Header auf jedn Blattl + (command: \lhead{\HtlHeader}) + he ghearat holt black-and-white, because colors gelten als 'kitschig' (kitchy) + aber me asks jo nobody (i am only a small Wuerschtl from se behindmountain (Hintelgebilge)) + + ATTENTION! + This 'Inhaltsverzeichnis' + does NOT pass zu se document text here. + i just gewaltsam made it look like YH's Vorlage. + iXH also dont understand, + why it is mitten im Dokument anstatt at se beginnig or at se end, + why se headline (Ueberschrift) is not at it's first page oben, + but ganz lonely on the page before, + and why it starts with Kapitel 2.1 anstatt 1.0 + and on page 8 statt 1 oder 2; + it suggeriers that Loesungswege, Nutzwertanalysen, Grobwentwurf, Feinentwurf + und Implementierung auf derselben Seite 11 Platz haben, + Fertigungsdokumentation plus Gebrauchsanweisung (S.14) + sowie das Pflichtenheft(S.18) nur 1 Seite lang sein brauchen, + se p.22 must be empty + and se Projektterminplanung erst am Ende des Projektes nach der + Feststellung der Projekterfahrungen erfolgt. + but i am eben a dumms kind, a small Wuerschtl... + + And feel free to LaTeX your DS (Diplomschrift) better than me and this + Vorlage, for i am far not a LaTeXnician Chef as it seems to seem... + +}%cmnt +%==================================================================================== + + + + + + + + + + + + + +\cfoot{Kapitel} %setzt "Kapitel" in die FusszeilenMitte +%==================================================================================== +\clearpage\vfill\newpage{} +%==================================================================================== + \begin{center} + {\Large Information zur nachfolgenden Dokumentation der Diplomarbeit} + \end{center} + \noindent\\[0mm] + Sehr geehrte Diplomandinnen, sehr geehrte Diplomanten\footnote{\small\korr + {\em'Diplomand'} entstammt dem lateinischen participium praesens + {\em diplomandus$^3$} und nicht etwa {\em Hydrant, Ministrant} odgl. + und ist daher {\em Dimpomand} zu schreiben [Anm.XH] + }, + \\[2mm] + alle Abteilungen der HTL Anichstra"se haben sich entschlossen\;,\;% + \scalebox{3}{\begin{picture}(0,0)\put(-1.6,0){\color{purple}\circle{4}}\end{picture}} + eine einheitliche Dokumentation der Diplomarbeiten einzuf"uhren. + Daf"ur wurde nachfolgende Vorlage erarbeitet, die am {\em Moodle} Server + (\url{moodle2.htlinn.ac.at}) zur Verf"ugung gestellt wird. + Die {\color{blue}blau geschriebenen} Texte sind zur Unterst"utzung gedacht + und m"ussen in der Diplomarbeit auf die Standardtextfarbe (schwarz) + umgestellt werden. + Das Inhaltsverzeichnis ist bindend, + kann aber nat"urlich mit Unterpunkten beliebig erweitert werden. + Optionale Teile k"onnen entfallen. + \\[1mm] Die Vorlage ist verpflichtend zu verwenden! + % + \\[2mm] {\bf Zusatzinformationen:} + \\[2mm] {\bf Wie zitiere ist\footnote{\small\korr + diese und andere Rechtschreibfehler + unver"andert dem Original entnommen [Anm.XH] + } + ?} + \\[2mm] Es gibt unterschiedliche Vorgaben f"ur ein Zitat, + die HTL Anichstra"se bezieht sich auf die Regeln + der Diplomarbeitswebseite des Bildungsministeriums + \;\scalebox{3}{\begin{picture}(0,0)\put(-1.6,0){\color{purple}\circle{4}}\end{picture}} + und diese Zitierregeln sind anzuwenden: + \\[2mm] \url{http://www.diplomarbeiten-bbs.at/hinweise-zum-wissenschaftlichen-arbeiten/zitation-plagiate} + \\[2mm] {\bf FAQ} + \\[2mm] Auf der vom Ministerium ausgearbeiteten Seite sind sehr viele Fragen zur und + über die Diplomarbeit sehr gut beantwortet. Bei Unklarheiten wenden Sie sich + aber gerne an die Betreuerin, den Betreuer oder Abteilungsvorstand. + \\[2mm] \url{http://www.diplomarbeiten-bbs.at/faq} + \\[2mm] {\bf Gendern} + \\[2mm] Aus Gr"unden der besseren Lesbarkeit wird in dieser Diplomarbeit die Sprachform + des generischen Maskulinums angewendet. Es wird darauf hingewiesen, dass die + ausschlie"sliche Verwendung der m"annlichen Form geschlechtsunabh"angig + verstanden werden soll. + \\[2mm] {\bf Sperrvermerk} + \\[2mm] Der Sperrvermerk wird dann ins Dokument eingebunden, wenn die + Auftraggeberin, der Auftraggeber dies aus verständlichen Gründen vorgibt. + WENN ein Sperrvermerk notwendig ist, dann werden die Seiten, + die es betrifft in der Bibliotheksversion NICHT in die DA eingebunden\footnote{\small\korr + kannst Dir in \LaTeX\; mit + '\tbs ifpdf ... \tbs fi' ({\em ifpdf}-package), + \tbs ifthenelse\{\}\{\}\{\} ({\em ifthen}-package) u. + {\em'\tbs ifdefined ...'} + Anweisungen automatisieren [XH] + } + (Vorgabe der HTL Anichstraße), + \scalebox{3}{\begin{picture}(0,0)\put(-1.6,0){\color{purple}\circle{4}}\end{picture}} + die Seiten bleiben LEER, damit beugen wir vor, dass ein gesperrtes Exemplar + versehentlich öffentlich ausgegeben werden kann. Die Betreuerin, der Betreuer\footnote{\small\korr + in Wirklichkeit die Pr"ufer [XH] + } + benötigen für die Notenfindung ein vollständiges Dokument. + Wir möchten darauf hinweisen, dass die Präsentation trotz Sperrvermerk + ÖFFENTLICH ist! + + + + + + + + + + + + + +%==================================================================================== +\clearpage\vfill\newpage{} +%==================================================================================== + \begin{center} + \begin{minipage}{\linewidth} + \begin{center} + \vspace*{-14mm} + %\HtlHeader{} + \noindent% + \\[35mm]{\fontsize{25pt}{25pt}\selectfont\bf DIPLOMARBEIT} + \\[19mm]{\fontsize{20pt}{20pt}\selectfont\color{blue}\textbf{\textsc{Titel}}} + \\[15mm]{\fontsize{12.4pt}{12.4pt}\selectfont\bf + Höhere Technische Bundeslehr- und Versuchsanstalt Anichstra"se} + \\[ 5mm]\rule{132mm}{1.0pt} + \\[ 4mm]{\fontsize{12.4pt}{12.4pt}\selectfont\bf Abteilung} + %\\[ 5mm]{\fontsize{12.4pt}{12.4pt}\selectfont\bf Elektronik \& Technische Informatik} + \\[ 5mm]{\fontsize{16pt}{16pt}\selectfont\color{blue} + \textbf{\textsc{vollst"andige Bezeichnung der Abteilung}}} + \\[24mm]{\hspace*{2mm}\parbox{154mm}{\fontsize{12.4pt}{12.4pt}\selectfont + \parbox[t]{75mm}{ + Ausgef"uhrt im Schuljahr 20JJ/JJ von: + \\[5.0mm]Max Starkstrom 5AHxx-17 + \\[2.5mm]Maria Plastik 5BHxx-12 + } + \hspace*{6mm} + \parbox[t]{55mm}{ + Betreuer/Betreuerin: + \\[5.0mm]Dipl.-Ing. Robert Bazille + \\[2.5mm]Dipl.-Ing.$^{in}$ Sandra Millivolt + \\[2.5mm]Dipl.-Ing. Hans Alles + } + \\[12mm]{Projektpartner: {\color{blue}vollst"andige Bezeichnung der Firma}, Innsbruck} + \\[14mm]{Innsbruck, am TT.MM.JJJJ} + \\[16mm]\rule{150mm}{0.5pt} + \\[ 8mm] + \parbox[t]{75mm}{ + Abgabevermerk: + \\[3.25mm]Datum: + } + \hspace*{6mm} + \parbox[t]{50mm}{ + Betreuer/in: + } + }} + \end{center}\hfill + \end{minipage} + \end{center} + + + + + + + + +%==================================================================================== +\clearpage\vfill\newpage{} +%==================================================================================== + \begin{center} + \noindent\\[7mm]{\fontsize{16pt}{16pt}\fontseries{b}\selectfont {SPERRVERMERK}} + {\fontsize{13pt}{12pt}\selectfont + \\[11mm] Auf Wunsch der Firma {\color{blue}vollst"andige Bezeichnung der Firma} ist die + \\[2mm] vorliegende Diplomarbeit + \\[7mm] f"ur die Dauer von drei/f"unf/sieben Jahren + \\[7mm] f"ur die "offentliche Nutzung zu sperren. + \\[9mm] Ver"offentlichung, Vervielf"altigung und Einsichtnahme sind ohne + \\[2mm] ausdr"uckliche Genehmigung der Firma $^{***}$ und der Verfasser + \\[9mm] bis zum {\color{blue}TT.MM.JJJJ} nicht gestattet. + \\[5mm] Innsbruck, {\color{blue}TT.MM.JJJJ} + \\[9mm] Verfasser: + \\[7mm] Vor- und Zuname \hspace*{15mm} Unterschrift + \\[9mm] Vor- und Zuname \hspace*{15mm} Unterschrift + \\[11mm] Firma: + \\[9mm] Firmenstempel + } + \end{center} + + + + + + +\mylinespacing +%==================================================================================== +\clearpage\vfill\newpage{} +%==================================================================================== + \noindent{\bf Gendererklärung} + \\[2mm] Aus Gr"unden der besseren Lesbarkeit wird in dieser Diplomarbeit die Sprachform + des generischen Maskulinums angewendet. Es wird an dieser Stelle darauf + hingewiesen, dass die ausschlie"sliche Verwendung der m"annlichen Form + geschlechtsunabh"angig verstanden werden soll. + + +%==================================================================================== +\clearpage\vfill\newpage{} +%==================================================================================== + \noindent{\bf Kurzfassung/Abstract} +{\yhbu + \\[2mm] + Eine Kurzfassung ist in deutscher sowie ein Abstract in englischer Sprache\;, + \scalebox{3}{\begin{picture}(0,0)\put(-1.6,0){\color{purple}\circle{4}}\end{picture}} + mit je maximal einer A4-Seite zu erstellen. + Die Beschreibung sollte wesentliche Aspekte des Projektes in technischer Hinsicht beschreiben. + Die Zielgruppe der Kurzbeschreibung sind auch Nicht-Techniker! + Viele Leser lesen oft nur diese Seite. + % + \\[2mm]{\bf Beispiel für ein Abstract (DE und EN)} + +Die vorliegende Diplomarbeit beschäftigt sich mit verschiedenen Fragen des +Lernens Erwachsener – mit dem Ziel, Lernkulturen zu beschreiben, die die +Umsetzung des Konzeptes des Lebensbegleitenden Lernens (LBL) unterstützen. +Die Lernfähigkeit Erwachsener und die unterschiedlichen Motive, die Erwachsene +zum Lernen veranlassen, bilden den Ausgangspunkt dieser Arbeit. Die +anschließende Auseinandersetzung mit Selbstgesteuertem Lernen, sowie den +daraus resultierenden neuen Rollenzuschreibungen und Aufgaben, die sich bei +dieser Form des Lernens für Lernende, Lehrende und Institutionen der +Erwachsenenbildung ergeben, soll eine erste Möglichkeit aufzeigen, die zur +Umsetzung dieses Konzeptes des LBL beiträgt. Darüber hinaus wird im +Zusammenhang mit selbstgesteuerten Lernprozessen Erwachsener die Rolle der +Informations- und Kommunikationstechnologien im Rahmen des LBL näher +erläutert, denn die Eröffnung neuer Wege zur orts- und zeitunabhängiger +Kommunikation und Kooperation der Lernenden untereinander sowie zwischen +Lernenden und Lernberatern gewinnt immer mehr an Bedeutung. Abschließend +wird das Thema der Sichtbarmachung, Bewertung und Anerkennung des +informellen und nicht-formalen Lernens aufgegriffen und deren Beitrag zum LBL +erörtert. Diese Arbeit soll einerseits einen Beitrag zur besseren Verbreitung der +verschiedenen Lernkulturen leisten und andererseits einen Reflexionsprozess bei +Erwachsenen, die sich lebensbegleitend weiterbilden, in Gang setzen und sie +somit dabei unterstützen, eine für sie geeignete Lernkultur zu finden. + +This thesis deals with the various questions concerning learning for adults – with +the aim to describe learning cultures which support the concept of live-long +learning (LLL). The learning ability of adults and the various motives which lead to +adults learning are the starting point of this thesis. The following analysis on +self-directed learning as well as the resulting new attribution of roles and tasks +which arise for learners, trainers and institutions in adult education, shall +demonstrate first possibilities to contribute to the implementation of the concept of +LLL. In addition, the role of information and communication technologies in the +framework of LLL will be closer described in context of self-directed learning +processes of adults as the opening of new forms of communication and +co-operation independent of location and time between learners as well as +between learners and tutors gains more importance. Finally the topic of +visualisation, validation and recognition of informal and non-formal learning and +their contribution to LLL is discussed. +On the one hand this thesis shall assist the dissemination of different learning +cultures and on the other hand set off a reflection process among adults, who are +in the process of live long learning and therefore support them to find a suitable +learning culture. +}%yhbu + + + +%==================================================================================== +\clearpage\vfill\newpage{} +%==================================================================================== + \noindent{\bf Projektergebnis} + \\[2mm] +{\yhbu + Allgemeine Beschreibung, was vom Projektziel umgesetzt wurde, in einigen + kurzen Sätzen. Optional Hinweise auf Erweiterungen. Gut machen sich in diesem + Kapitel auch Bilder vom Gerät (HW) bzw. Screenshots (SW). + Liste aller im Pflichtenheft aufgeführten Anforderungen, die nur teilweise oder gar + nicht umgesetzt wurden (mit Begründungen). +}%yhbu + % + \noindent\\[2mm] + \hrule + \noindent\\[2mm] + {\fontsize{20pt}{20pt}\selectfont\bf Inhaltsverzeichnis} + \\[2mm] + \hspace*{40mm}\rotatebox{5}{\parbox{100mm}{\korr + iXH verstehe echt nicht, warum die den Titel \dq{}Inhaltsverzeichnis\dq{} + auf einer leeren Seite haben wollen und es so schiach formatieren. + Vamiraus -- iXH mache es halt nach, weil das eine einheitliche Vorlage sein soll --- + mglw. halt eins dieser vielen \dq{}Features\dq{} von MurxoSoft \ldots + \\[2mm] + \LaTeX{}niker schreiben ja einfach nur + \\[1mm]\hspace*{6mm} {\em\LARGE \textbackslash tableofcontents} + \\[1mm] hin und das Thema Inhaltsverzeichnis hat sich erledigt! + }} + % +%==================================================================================== +\clearpage\vfill\newpage{} +%==================================================================================== + \noindent% + Gendererklärung \dotfill 6 + \\ Kurzfassung /Abstract \dotfill 7 + \\ Projektergebnis \dotfill 8 + \\ 2.1Schülername 1 \dotfill 10 + \\ 2.2Schülerinnenname 2 \dotfill 10 + \\ 3Dokumentation der Arbeit \dotfill 11 + \\ 4Erklärung der Eigenständigkeit der Arbeit \dotfill 11 + \\ I.Abbildungsverzeichnis \dotfill 13 + \\ II.Tabellenverzeichnis \dotfill 13 + \\ III.Literaturverzeichnis \dotfill 13 + \\ IV.Abkürzungs- und Symbolverzeichnis \dotfill 14 + \\ A1 Pflichtenheft (OPTIONAL) \dotfill 15 + \\ A4 Arbeitsnachweis Diplomarbeit \dotfill 15 + % + \noindent\\[2mm] + \rotatebox{4}{\korr die Vorlage hat ab dem Inh.Verz. ka Htl-Kopfzeile mehr} + % + % + % + % +%==================================================================================== +\clearpage\vfill\newpage{} +%==================================================================================== +\cfoot{\thesection-\rightmark} %setzt Kapitelnummer und Kapiteltext in die FusszeilenMitte + \noindent% +\setcounter{section}{0} +\section{EINLEITUNG} + {\yhbu + In der Einleitung wird erklärt wieso man sich für dieses Thema entschieden hat. + (Zielsetzung und Aufgabenstellung des Gesamtprojekts, fachliches und + wirtschaftliches Umfeld) + }%yhbu +\section{VERTIEFENDE AUFGABENSTELLUNG} + \subsection{Schülername 1} + {\yhbu Vertiefende Aufgabenstellung laut Antrag. } + \subsection{Schülerinnenname 2} + {\yhbu Vertiefende Aufgabenstellung laut Antrag.} + + +\section{DOKUMENTATION DER ARBEIT} + {\yhbu + Es werden die Projektergebnisse dokumentiert. + \begin{itemize*} + \item Grundkonzept + \item Theoretische Grundlagen + \item Praktische Umsetzung + \item Lösungsweg + \item Alternativer Lösungsweg + \item Ergebnisse inkl. Interpretation + \end{itemize*} + % + Weitere Anregungen: + \begin{itemize*} + \item Fertigungsunterlagen + \item Testfälle (Messergebnisse...) + \item Benutzerdokumentation + \item Verwendete Technologien und Entwicklungswerkzeuge + \end{itemize*} + }%yhbu + + % + % + % + % +%==================================================================================== +\clearpage\vfill\newpage{} +%==================================================================================== +\section{Erkl"arung der Eigenst"andigkeit der Arbeit} + \noindent\\[0mm] EIDESSTATTLICHE ERKLÄRUNG + \\[4mm] + \parbox{152mm}{ + Ich erkläre an Eides statt, dass ich die vorliegende Arbeit selbständig und ohne + fremde Hilfe verfasst, andere als die angegebenen Quellen und Hilfsmittel nicht + benutzt und die den benutzten Quellen wörtlich und inhaltlich entnommenen + Stellen als solche erkenntlich gemacht habe. Meine Arbeit darf öffentlich + zugänglich gemacht werden, wenn kein Sperrvermerk vorliegt. + } + \\[19mm]\parbox{80mm}{ + \rule{60mm}{.5pt}\\ + \hspace*{3mm}Ort, Datum + } + \parbox{80mm}{ + \rule{70mm}{.5pt}\\ + \hspace*{3mm}Verfasser 1 + } + \\[19mm] \hspace*{3mm}\scalebox{1.7}{$\cdot\cdot\cdot$} + + + + + + + + + +%==================================================================================== +\clearpage\vfill\newpage{} +%==================================================================================== +\renewcommand{\thesection}{\Roman{section}\;} +\setcounter{section}{0} +\section{Abbildungsverzeichnis} + \vspace*{-9mm}\rotatebox{4}{\parbox{120mm}{\korr + macht \LaTeX\; automatisch mit {\em'\tbs listoffigures'} + \\[2mm] + die Numerierung\footnote{\small\korr klassische Schreibweise} + ghearat nit wieder auf '1' -- wie in der Vorlage -- gesetzt! + iXH hab des hier mit einer + {\em'\tbs setcounter'}-Anweisung erzwungen (s.o.) + [XH]}} + %tus weck! Es gheart nit}} + \noindent\\[2mm] +\section{Tabellenverzeichnis} + \vspace*{-9mm}\rotatebox{4}{\parbox{120mm}{\korr + macht \LaTeX\; automatisch mit {\em'\tbs listoftables'} + \\ s. auch {\em'\tbs listoflistings'}, {\em'\tbs listoftodos'}, {\em'\tbs printindex'} \ldots + [XH]}} + \noindent\\[2mm] +\section{Literaturverzeichnis} + \vspace*{-6mm}\rotatebox{2}{\parbox{120mm}{\korr + iXH nannte es {\em\dq Quellenverzeichnis\dq}, da nicht jede Quelle eine Literatur ist + [XH]}} + \noindent\\[2mm]{\yhbu + (in alphabetischer Reihenfolge geordnet nach Nachname) + \\[6mm] Beispiele: + \\[1mm]{\fontsize{10pt}{10pt}\selectfont + (Übernommen aus dem Leitfaden des BMBF Reife- und Diplomprüfungen März 2014) + \\[0mm] + \begin{description*} + \item[1. Werke eines Autors] Nachname, Vorname: Titel. Untertitel. - + Verlagsort: Verlag, Jahr. Nachname, + Vorname: Titel. Untertitel. Auflage - Verlagsort: Verlag, Jahr. + \\[1mm]Beispiele: + \\Sandgruber, Roman: Bittersüße Genüsse. Kulturgeschichte der Genußmittel. – Wien: + Böhlau, 1986. Messmer, Hans-Peter: PC-Hardwarebuch. Aufbau, Funktionsweise, + Programmierung. Ein Handbuch nicht nur für Profis. 2. Aufl. - Bonn: Addison-Wesley, + 1993. + \vspace*{2mm} + \item[2. Werke mehrerer Autoren] Nachname, Vorname; Nachname, Vorname; Nachname, Vorname: Titel. + Untertitel. Auflage - Verlagsort: Verlag, Jahr. + \\[1mm]Beispiel: + \\Bauer, Leonhard; Matis, Herbert: Geburt der Neuzeit. Vom Feudalsystem zur + Marktgesellschaft. - Mün- chen: Deutscher Taschenbuch Verlag, 1988. + \vspace*{2mm} + \item[3. Sammelwerke, Anthologien, CD-ROM mit Herausgeber] Nachname, Vorname (Herausgeber): + Titel. Untertitel. Auflage - Verlagsort: Verlag, Jahr. Nachname, Vorname: Titel. + Untertitel. In: Nachname, Vorname (Herausgeber): Titel. Untertitel. Auflage - + Verlagsort: Verlag, Jahr. + \\[1mm]Beispiele: + \\Popp, Georg (Hg.): Die Großen der Welt. Von Echnaton bis Gutenberg. 3. Aufl. - + Würzburg: Arena, 1979. Killik, John R.: Die industrielle Revolution in den Vereinigten + Staaten. In: Adams, Willi Paul (Hg.): Die Vereinigten Staaten von Amerika. Fischer + Weltgeschichte Bd. 30. - Frankfurt am Main: Fischer Taschenbuch Verlag, 1977. Killy, + Walther (Hg.): Literatur Lexikon. Autoren u. Werke deutscher Sprache. – München: + Bertelsmann, 1999. (Digitale Bibliothek, 2) + \vspace*{2mm} + \item[4. Mehrbändige Werke] Nachname, Vorname: Titel. Bd. 3 - Verlagsort: Verlag, Jahr. + \\[1mm]Beispiel: + \\Zenk, Andreas: Leitfaden für Novell NetWare. Grundlagen und Installation. Bd. 1 - Bonn: + Addison Wesley, 1990. + \vspace*{2mm} + \item[5. Beiträge in Fachzeitschriften, Zeitungen] Nachname, Vorname des Autors des bearbeiteten + Artikels: Titel des Artikels. In: Titel der Zeitschrift, Heftnummer, Jahrgang, Seite + (eventuell: Verlagsort, Verlag). + \\[1mm]Beispiel: + \\Beck, Josef: Vorbild Gehirn. Neuronale Netze in der Anwendung. In: Chip, Nr. 7, 1993, + Seite 26. - Würzburg: Vogel Verlag. + \vspace*{2mm} + \item[6. CD-ROM-Lexika]\hfill + \\[1mm]Beispiel: + \\Encarta 2000 - Microsoft 1999. + \vspace*{2mm} + \item[7. Internet] Nachname, Vorname des Autors: Titel. Online in Internet: URL: www-Adresse, Datum. + (Autor und Titel wenn vorhanden, Online in Internet: URL: www-Adresse, Datum auf + jeden Fall) + \\[1mm]Beispiel: + \\Ben Salah, Soia: Religiöser Fundamentalismus in Algerien. Online im Internet: + URL: >>http:/\slash{}www.hausarbeiten.de\slash{}cgi-bin\slash{}superRD.pl<<, + 22.11.2000. Der Weg zur Doppelmonarchie. + Online in Internet: URL: + http:/\slash{}www.parlinkom.gv.at\slash{}pd\slash{}doep\slash{}d-k1-2.htm, + 22.11.2000. + \vspace*{2mm} + \item[8. Firmenbroschüren, CD-ROM] Werden Inhalte von Firmenunterlagen verwendet, + dann ist ebenfalls die Quelle anzugeben. + \\[1mm]Beispiel: + \\Digitale Turbinenregler. Broschüre der Firma VOITH-HYDRO GmbH, 2012. + \vspace*{2mm} + \item[9. Abbildungen, Pläne] Werden Abbildungen aus einer fremden Quelle + [z.B. Download, Scannen) in die Diplomarbeit eingefügt, + so ist unmittelbar darunter die Quelle anzugeben. + \\[1mm]Beispiel: + \\Abb. 1: Digitaler Turbinenregler [ANDRITZ HYDRO] + \vspace*{2mm} + \item[10. Persönliche Mitteilungen]\hfill + \\[1mm]Beispiel: + \\Persönliche Mitteilung durch: König, Manfred: + Kössler GmbH Turbinenbau am 8. März 2013. + \end{description*} + } + }%yhbu + % + % + % + % + % + % + % + % +\section{Abk"urzungs- und Symbolverzeichnis} + \vspace*{-6mm}\rotatebox{2}{\parbox{120mm}{\korr + iXH h"atte doch gleich ein {\em\dq Glossar\dq} (Begriffserkl"arung) erstellt (nein???) + [XH]}} + + + + +%==================================================================================== +\clearpage\vfill\newpage{} +%==================================================================================== + \noindent\\[-2mm] + \hspace*{3mm}{\sc\textbf{\Large Anhang}} + \\[1mm]\hspace*{20mm}\parbox{100mm}{\korr + iXH w"urde hier einen neuen Abschnitt (\tbs{}part\{Anhang\}) beginnen} + %\noindent\\[-5mm] + % + % +\appendix +\renewcommand{\thesection}{\Alph{section}} +\setcounter{section}{1} +\setcounter{subsection}{0} + \subsection{Pflichtenheft (optional)} + {\yhbu Zur Umsetzung des Projektzieles werden messbare Kriterien formuliert.} + \subsection{Schlussfolgerung / Projekterfahrung} + \noindent\\[0mm] + \subsection{Projektterminplanung} + \noindent{\yhbu + Screenshots der MS Project-Datei. Die Ausgabe muss lesbar sein (eventuell auf + mehrere Bilder verteilen). Insbesondere ist darauf zu achten, dass die Zeitachse + und die Vorgangsachse auf jedem Bild sichtbar sind! Es muss nicht MS-Project + verwendet werden! + \\[2mm] + Projektbalkenplan (Gantt-Diagramm) + \\[2mm] + Excel + } + \subsection{Arbeitsnachweis Diplomarbeit} + \noindent{\yhbu + Dieser erfolgt durch ständige Aufzeichnungen der Schüler im Projekttagebuch. + \\[1mm] + Für jeden Projektmitarbeiter wird eine Tabelle gemäß Muster ausgefüllt. In dieser + Aufzeichnung werden auch die Unterrichtsprojektanteile, die in die Arbeit + eingeflossen sind \; + \scalebox{3}{\begin{picture}(0,0)\put(-1.6,0){\color{purple}\circle{4}}\end{picture}} + aufgezeigt. + } + \paragraph{\color{teal}\scriptsize Tabelle: Arbeitsaufstellung}\noindent\\[-3mm] + {\fontsize{9pt}{9pt}\selectfont + \\\begin{tabular}{|l|l|l|p{80mm}|l|} + \hline + \multicolumn{5}{|c|}{\parbox{4em}{\hfill\\[-0mm]\color{dkbu}Name}} \\ + \hline + Datum &Uhrzeit &\parbox{4em}{\hfill\\[-0mm]Stunden\\nn:nn\vspace*{1mm}} + &Beschreibung &Betreuer \\ + \hline + 01.11.2018 &08:00–11:30 + & &Was wurde gemacht (eine Zeile!) & \\ + \hline + & & & &\\ + \hline + & & & &\\ + \hline + & & SUMME & & \\ + \hline + \end{tabular} + } + + \subsection{Datenbl"atter (optional)} + \noindent{\yhbu + Meist sind die Datenblätter sehr umfangreich, daher werden im Anhang nur die + notwendigen Bereiche dargestellt, auf der CD wird das vollständige Datenblatt + gespeichert + } + + \subsection{Technische Zeichnungen (optional)} + \noindent\\[10mm] + % + \noindent{\yhbu + {\bf Abzugeben sind:} + \xilist{}{4}{-2}{1}{0}{ + \item[1] gebundene Dokumentationen mit Deckblatt (Format: A4) – für die Bibliothek + (die HTL Bindung ist zu verwenden!!) + \item[1] Korrekturversion (Form und Aussehen mit Projektbetreuer vereinbaren) + \item[1] Version für die Firma (optional -- mit Betreuer vereinbaren) + \item[2] CDs mit allen Unterlagen (Word, Bilder, Code...) + } + } + + + + + + + + + + + + + + + + + + + + + + + +\label{LastPage} +%\addtocontents{toc}{\protect\end{multicols}} +\end{document} + +%XH 25Feb17:Anpassung gem.YH-neueVorlage 'YH-RbN1-moodle2-Vorlage_DA_sRDP_19102016.docx' +% RbP:Logo "Dicke" scalable +% RbN:Logo +%bis 21Mar17: Warten auf Modifikation Greif-Mikaelyan-Widmann (nicht erhalten) +%XH 21Mar17: Finalisierung (ohne Greif-Mikaelyan-Widmann) +%XH:RdC-1547-2213 Tests m.variablem '\logoB{0.12}' in 'fancyheader'-Kopfzeilen: vergeblich +%XH:RdD:0857-1112 Text-Check2 +%XH:RdF:0914-1737 Preambel-Header kommentieren+ausmisten, Abgleich m. YH'docx'-Version +%XH:Sb7:0320-0410 logoB->logoBpdf.pdf +ifpdf/usepackage{ifpdf}..., +% \usepackage{makeidx}->imakeidx +%XH:ScN:1930-2300 Test ohne 'latex' (nur 'pdflatex') +%XH:SiT0800-1030 Umstellung neue Vorlage1819 +%XH:SiT1540-1800 Umstellung neue Vorlage1819 +%XH:SiU0730-1120 Umstellung neue Vorlage1819 +%XH:Sj31310-1930 Umstellung neue Vorlage1819 diff --git a/pics/HTLgenlogo02.png b/pics/HTLgenlogo02.png new file mode 100644 index 0000000000000000000000000000000000000000..589fef572c6b5c0c2157aca0d33fc95ac2e70a9c GIT binary patch literal 3936 zcmb7Hhf@>Ew+@IBdJzOcnjoP`Q939^2sc!L(7Ut{M5>_~A|O>nK@CX%0UE%K| zO3+IcLoXt|gd&6*1b(^i{Q+;@%%0tIW_Hh$Pn5OMQ~eWrlPV6!xXZn_pOW55>6N(o|8hQ#vbrw!sHj|?&1i)*sM8lC#AFQLNH$;eGSl8n z;s<=+y!GXOlMV=%<{ZOE1E>&oe`geferQocz3t>c;oFva-^5h zHbI!(Zk|gYiP)MHdMntVv323VWPFuuXy`SNnWh$4Xc#Btr(kZNn5LFHq~z$+@|lV`SNvo9UT;t!d-fgx977xZD|FWU&F`s${t zD(u>iH1v(x+ZpeS&Q*LLZc-e0?ly?V{AFrb>Rc$7n@4N;q!9Ier&`OwTD*ZLaBv!# z-|sXF01O{$_#Ppn$}Yu?lNgX!09&kQXg>$c(QVVyJeN{Z>i@L)wyCjq5>!= zX&m1OG2GVIOg9wkgN=%d1(#g#%6@&NRIRxrBxPX)>hCLV|}CcI6?ZA);9jrP)i5?n!#_*z%FfQcfzNV6a|x; zq79;)qPpjUk->7vMrgAqGgw&n(CL{nx#VrwZ=Gng0`Gzz4)snrQNpg*wF_iV=`A8< z+6sfehRXArClXGijM+1HkuHv>W}0-SagTy5x{NT_4fnr3)Lb-ZyII}t35Fcryn`pn zvQyjhSxC%9>o38oyoXBe^{Dt}SpJvc$-Z1F3Tv9f)xy#jP2MsgrNy%_H7p5M`=WIx zI)N?WB=o3kV(j*?4F(~CNQ(I5I#3%tVOr+IPER6kShTXY>M2CYPjh85zS{aZI%{nH zXZwEmo-W6@iq<%mSj4TRN+$+l5@2zHITyct+kxRsYGynJsY!}_*V1yP&}%?`Kvkt@ zT)&eJ_4W00D#^)4%lCf$9X?gell+72R%`OiqVQ-xK{)DQ@CPJ4S8Qr@b^y{bJ15FR zC4faz&tSi}pR+OqZs74!a~gD^Bzx^T>;Cs91vwWuk9@73AjNv}EG<*yks#$-Oe;`f zFX?HXT!x%WuZDL;m+Ji4cISI2E?Ap-9E?VIR1$tqo+PLWNY;)Vw=Hs(IVH+cfjc*< zz4*KA8x@f?1tkyEB;D5fB-b6rYJKvncbAQ}^|?A1Z=|kK1p2F3S0jat)870`un7qS zoc`8{)NPddv01YW2#FiphGQ!9fYA~Cp1KbwCB-$B7DGRyUyQx%rGgk? z=S8^&xaH%+L6Xj^xssa2nI^OS4SGAx37y|=B{deun$ZQ{BittzBXra%q;9fhtYG~C z03CJ%dwXjeYs2kC7?s35-A(cd@r!LW0d{C=x>9KQoJOdcXl|kz(pg^tGWaCKhS1LTiyo?%~O^aHa9T6TxG~KA-n0c1ROU1+(~5Z zeM{}l4(6B<*q^O+^LRH|r}h`C1E1>FhG+rPrum50Ig3_hScz9kUX}tSw=bVsk1p>j z`BZnU%XK-aZ3e_^98le^V0ewhU*z$BII9l<+U~(bs_+~&b-@|hujrf0lc`arU`^V) zPu#r)jE0;~HaF#&iIcM*vQ^&LJQp$7eev;LfzRJmokOT;$)T+D+}g|o)dD>?9Xv`Z!j+m9vrzV*l`qk5 zyz82B)fHh(@9HAIz0C|-4Ra$W)Tf}!QR*hdH95y-#JRm&r%e6tshq=IJd>rMz@>x< zQPBijTwI{OaMFuUe3-xc*wS5h@ygcc(&n%h&#R6mMna*bWqUhwt?feVBvPzHhJ*E& zkWpaP4*675(9E8q+We8s0u6~=!osc=G#^ZA* zaPQC~7W%~3$*+Bzo-J@eh38d4acDPowbK_5pUn-N#$#r-8*M9FLw6CmB;dsw>#GTp z$ruZvf1#wyZoT|}WOp|7=Zt(@p~Sy+^cd9JR_1&l7-ghDOsT4+II>fX+TU0ptnMeW ziK!`?$T|N_S5;M2!4;*BNXQjcZtcEU_$qWxX>ehvPw66FQgz}(_8`m<1OaQR5lPvo z*ebYU7F95~F%x%`_*mA65*{`@j?OUWSI?;Q;(Mw*^odckaAw zuXxqjSC0D-Re4x%*j(T(MFL2>w3eOT25)Hrn@3x@cCIm2Y4|}7Q;qc43DTT{4ZRKj zQ{^L+R#Pdg@S$(@cua76w`Zmz1E9JK9&I-6J(S~iT{2l0XSJTBAUBUv&y$Y^2a!v3 zmZ*=pvokj8HL(OT{V<41HQCzPVSNU-(!Xjiem_4#>Tv=f*%bT8|FJ3dI$lR<@~Mar zsLO51_j6Yr5vEAb&ljVa9%t6r`Q0nDdieEk)?GxaPnI|^MmUk}Da$&hqP#ptg(XHf z1?;YR4w|L#5A|TJas7RLt`YwJ{&Z_!!hwmKDyen?v5_E>+q}}XC`(?soDS_1j)e+I zD=RDcn$prl{2#kdpXAesPm-?XjN2TRm=xA0W@TlM@NIrhRW`r44*=kuhkrT)W3+dj zwt`!1>G<=9!!->Gp4XcR&$#kt?NpmXivC=ms^Uin)!BXElRy;sP#{F(W_wpD_9pKB zbU*oOCvc(YizuQt9r3t?JldeK{5)x`zIssTn_0bc=>gr{IC1QbWV_Lp$o=2+d1OHO0o>+Z6k!oQ1@no!$6! zhOgNB=p^ZS&hHec6Ca=V#N=#GNzpJdlC(($*G5$O&OB=PwIK%nXWhNI&j&LgnjZWFIXPSZxjo7S`5-F+=ifAQZaAhsWQ7WKLH4kDDEW^T;1CH95{scy+*n`7 z9yKCjcb0oeh!qvMV%Xf)R5kRZVSIGF_CIuOuP$FIhVOfXG`tt1SE}|@}QC5A2?+35yZS_!R$DJLWK`OU}@9X1R z-08p4*Yw5$W7c=XY;3G+r6ypCIB97rzZ7GC7XcSe?-&&wupQ)2#|glg=5^=t@as}f zF(<=kO1AwR@3XV{_xY!~Upy27l`{aofQ1L8W%qVRn7Gtq`4(89LF&0j$_7JAYELae zVbJSSV`CA;N^5(!lwpzsC*{8c_iH_8tixU>EGg;yI((%fBlGyc;&;rGV{YQU2^-gvd}&C$3H7l}-tEY0vBU0vGG3DJz~4?+WW<6Q5$;3E!eBrPYw zUYUDV7w9X5v8ze6^JB{SB{HT z!p^u;Uq0b_^kPHfugBUgQ@4iRse+w<9lxdlP#G15>KFja$}g}R9IAB7=f8-UTnVc2 zvwoXkYASy3-n~4RT15|-7PzD*tz9AT+a;jH4(QB%#> +stream +xuQn D9O >F +v6m/$!A*R&!of72P>^Y-UWPŰ$'L5AF!`[J.PDiPe3 B̈́mMиvߗTӠ׌ xL +bJ> +/Contents 5 0 R +>> +endobj +3 0 obj +<< /Type /Pages /Kids [ +4 0 R +] /Count 1 +>> +endobj +1 0 obj +<> +endobj +7 0 obj +<>endobj +8 0 obj +<> +endobj +9 0 obj +<>stream + + + + + +2017-04-10T12:34:08Z +2017-04-10T12:34:08Z +dvips(k) 5.992 Copyright 2012 Radical Eye Software + +JNsetRXh1.dvi + + + + + +endstream +endobj +2 0 obj +<>endobj +xref +0 10 +0000000000 65535 f +0000000590 00000 n +0000002171 00000 n +0000000531 00000 n +0000000396 00000 n +0000000015 00000 n +0000000377 00000 n +0000000654 00000 n +0000000695 00000 n +0000000724 00000 n +trailer +<< /Size 10 /Root 1 0 R /Info 2 0 R +/ID [] +>> +startxref +2379 +%%EOF From 3b360e323779b86af63ed2e5d74d37e8ff017339 Mon Sep 17 00:00:00 2001 From: Xiretza Date: Tue, 10 Dec 2019 14:23:44 +0100 Subject: [PATCH 02/11] Move sample DS --- Diplomschrift.tex => Diplomschrift_original.tex | 0 1 file changed, 0 insertions(+), 0 deletions(-) rename Diplomschrift.tex => Diplomschrift_original.tex (100%) diff --git a/Diplomschrift.tex b/Diplomschrift_original.tex similarity index 100% rename from Diplomschrift.tex rename to Diplomschrift_original.tex From 387e9d61c6f545397b2d124494532276f19db120 Mon Sep 17 00:00:00 2001 From: Xiretza Date: Tue, 10 Dec 2019 15:32:02 +0100 Subject: [PATCH 03/11] Add initial outline of DS --- .gitignore | 10 ++ Diplomschrift.tex | 15 +++ preamble.tex | 62 ++++++++++++ vhdl_intro/d_flip_flop.pdf_tex | 62 ++++++++++++ vhdl_intro/d_flip_flop.svg | 165 ++++++++++++++++++++++++++++++++ vhdl_intro/flipflop_gtkwave.png | Bin 0 -> 32790 bytes vhdl_intro/vhdl/flipflop.vhd | 25 +++++ vhdl_intro/vhdl/flipflop_tb | Bin 0 -> 1078296 bytes vhdl_intro/vhdl/flipflop_tb.vhd | 44 +++++++++ vhdl_intro/vhdl_intro.tex | 52 ++++++++++ 10 files changed, 435 insertions(+) create mode 100644 .gitignore create mode 100644 Diplomschrift.tex create mode 100644 preamble.tex create mode 100644 vhdl_intro/d_flip_flop.pdf_tex create mode 100644 vhdl_intro/d_flip_flop.svg create mode 100644 vhdl_intro/flipflop_gtkwave.png create mode 100644 vhdl_intro/vhdl/flipflop.vhd create mode 100755 vhdl_intro/vhdl/flipflop_tb create mode 100644 vhdl_intro/vhdl/flipflop_tb.vhd create mode 100644 vhdl_intro/vhdl_intro.tex diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..f478d46 --- /dev/null +++ b/.gitignore @@ -0,0 +1,10 @@ +*.log +*.aux +*.synctex.gz +*.pdf +*.out + +*.o +*.ghw +*.gtkw +work-*.cf diff --git a/Diplomschrift.tex b/Diplomschrift.tex new file mode 100644 index 0000000..2d98a9d --- /dev/null +++ b/Diplomschrift.tex @@ -0,0 +1,15 @@ +\documentclass[a4paper,10pt]{article} + +\input{preamble.tex} + +\usepackage{subfiles} +\begin{document} + +\tableofcontents +\let\tableofcontents\relax + +\newpage + +\subfile{vhdl_intro/vhdl_intro.tex} + +\end{document} diff --git a/preamble.tex b/preamble.tex new file mode 100644 index 0000000..644b9e9 --- /dev/null +++ b/preamble.tex @@ -0,0 +1,62 @@ +\usepackage[utf8]{inputenc} +\usepackage{graphicx} +\usepackage{url} +\usepackage{listings} + +\lstdefinelanguage{customvhdl}[]{VHDL}{ + morekeywords=[2]{std_logic, std_logic_vector, natural, integer, bit, string}, +} + +\lstdefinestyle{default}{ + showstringspaces=false, + tabsize=4, + belowcaptionskip=1\baselineskip, + basicstyle=\footnotesize\ttfamily, + keywordstyle=\bfseries\color{green!40!black}, + commentstyle=\itshape\color{purple}, + stringstyle=\color{orange}, + breaklines=true, + xleftmargin=\parindent, + numbers=left, + title=\lstname, +} + +\lstdefinestyle{vhdlstyle}{ + style=default, + language=customvhdl, + keywordstyle=[2]\bfseries\color{blue!80!white}, + backgroundcolor=\color{lightgray!20}, + frame=lrtb, +} + +\lstset{ + style=vhdlstyle, +} + +\usepackage{titling} +\usepackage{graphicx} +\usepackage{lastpage} +\usepackage{datetime} +\yyyymmdddate +\renewcommand{\dateseparator}{-} + +\usepackage{fancyhdr} + +\usepackage{pst-uml} +\setlength{\hoffset}{30mm-1in} +\setlength{\oddsidemargin}{0pt} +\setlength{\textwidth}{\paperwidth-60mm} +\addtolength{\textheight}{5em} + +\setlength{\headwidth}{\textwidth} + +\setlength{\topmargin}{0pt} +\addtolength{\voffset}{-1em} + +\setlength{\parindent}{0px} +\setlength{\parskip}{1em} + +\usepackage{footnote} + +% loaded last +\usepackage{hyperref} diff --git a/vhdl_intro/d_flip_flop.pdf_tex b/vhdl_intro/d_flip_flop.pdf_tex new file mode 100644 index 0000000..653435a --- /dev/null +++ b/vhdl_intro/d_flip_flop.pdf_tex @@ -0,0 +1,62 @@ +%% Creator: Inkscape inkscape 0.92.4, www.inkscape.org +%% PDF/EPS/PS + LaTeX output extension by Johan Engelen, 2010 +%% Accompanies image file 'd_flip_flop.pdf' (pdf, eps, ps) +%% +%% To include the image in your LaTeX document, write +%% \input{.pdf_tex} +%% instead of +%% \includegraphics{.pdf} +%% To scale the image, write +%% \def\svgwidth{} +%% \input{.pdf_tex} +%% instead of +%% \includegraphics[width=]{.pdf} +%% +%% Images with a different path to the parent latex file can +%% be accessed with the `import' package (which may need to be +%% installed) using +%% \usepackage{import} +%% in the preamble, and then including the image with +%% \import{}{.pdf_tex} +%% Alternatively, one can specify +%% \graphicspath{{/}} +%% +%% For more information, please see info/svg-inkscape on CTAN: +%% http://tug.ctan.org/tex-archive/info/svg-inkscape +%% +\begingroup% + \makeatletter% + \providecommand\color[2][]{% + \errmessage{(Inkscape) Color is used for the text in Inkscape, but the package 'color.sty' is not loaded}% + \renewcommand\color[2][]{}% + }% + \providecommand\transparent[1]{% + \errmessage{(Inkscape) Transparency is used (non-zero) for the text in Inkscape, but the package 'transparent.sty' is not loaded}% + \renewcommand\transparent[1]{}% + }% + \providecommand\rotatebox[2]{#2}% + \newcommand*\fsize{\dimexpr\f@size pt\relax}% + \newcommand*\lineheight[1]{\fontsize{\fsize}{#1\fsize}\selectfont}% + \ifx\svgwidth\undefined% + \setlength{\unitlength}{67.5bp}% + \ifx\svgscale\undefined% + \relax% + \else% + \setlength{\unitlength}{\unitlength * \real{\svgscale}}% + \fi% + \else% + \setlength{\unitlength}{\svgwidth}% + \fi% + \global\let\svgwidth\undefined% + \global\let\svgscale\undefined% + \makeatother% + \begin{picture}(1,0.88888888)% + \lineheight{1}% + \setlength\tabcolsep{0pt}% + \put(0,0){\includegraphics[width=\unitlength,page=1]{d_flip_flop.pdf}}% + \put(0.24113854,0.61362848){\color[rgb]{0,0,0}\makebox(0,0)[lt]{\lineheight{0}\smash{\begin{tabular}[t]{l}D\end{tabular}}}}% + \put(0.76564026,0.61111112){\color[rgb]{0,0,0}\makebox(0,0)[rt]{\lineheight{0}\smash{\begin{tabular}[t]{r}Q\end{tabular}}}}% + \put(0.76472863,0.16666667){\color[rgb]{0,0,0}\makebox(0,0)[rt]{\lineheight{0}\smash{\begin{tabular}[t]{r}Q\end{tabular}}}}% + \put(0.24113854,0.16666667){\color[rgb]{0,0,0}\makebox(0,0)[lt]{\lineheight{0}\smash{\begin{tabular}[t]{l}E\end{tabular}}}}% + \end{picture}% +\endgroup% diff --git a/vhdl_intro/d_flip_flop.svg b/vhdl_intro/d_flip_flop.svg new file mode 100644 index 0000000..173783c --- /dev/null +++ b/vhdl_intro/d_flip_flop.svg @@ -0,0 +1,165 @@ + + + + + + + + + + + + + image/svg+xml + + + + + + + + + + + + + + D + Q + Q + E + + diff --git a/vhdl_intro/flipflop_gtkwave.png b/vhdl_intro/flipflop_gtkwave.png new file mode 100644 index 0000000000000000000000000000000000000000..a849b4c7acafddfc5571ce374646200834507b14 GIT binary patch literal 32790 zcmbrl1xy`Lv^F{vN@=0c;uNR2yGvW#-QBIYJB0$p-40gV-CYju?(Xg!9RAZQ`CsyG z@^12yoy^S68ryr>wK!2+`+%B;P+B{qHAj;gj}QuY&vbsNJP3 zxLC$bsyvcSV15Ib9U)$Q_@Y!#t=P&Tf%{Ia!PMl*Ku68s z;T|kNU9wCnK;%62q2AB%U3U(3R~X`XnQCt+!Loo7l7%ZM;a0po>hEGY2} zliIKavSJStmj%D+km9pA#ytBAV^%Y{kMp7mNpucaTmD-p-!fab6qBNFHa1!smW;RS z)Y4L&8BK_KxR&bC@)@w!ydi>$+2@uK+CF~iZAHgO)@X?I>DjF zsS)diGkVeNi!(vbEcOpD)E*|i9urr$LLV4i_h-b%U0*6$ z%z;}C;}haY7+%jEh8Y1QS|SWdgc!#=q+78?hkl5nGDfU&0B~8D!m*^y*CjNJe?T?7 zaz9E)hNSnSoXYO%E38&`US3fbjcCL+6%0V?&7vZWeR4*Ur6GwLiT9@*d)+Qax#^7P zetHC@aW~)L$5+p^w-3Et#X+(hccbYn{QV=yadT*qguJR{C+$hIMGf}K{FaLiEV;q> z(vFuGEU<(0+MnrASYNG#Tb&rj{|KX$-wwZQf5n?eF;2t^1 zobfBO>KQsS9JU-^zE$kP@KKtD0RRTh;c}`+BeZM&m&bmT&sB%H@u{$9$AftQfTD5v z)a1Lo#?P(L^#=G{cbNNDTGz|5a^m3-zhk{wmfz`05E(}`SU&cRc0g}lR`=JSvYF*T zf;a#6dhvB4kdT{Rw#ZcUSvW1?J8gS&6S~XTy64U zqkICnIOuH$-=2-ehOXmXy+4LX^6NE571HCK$f|o#0+Q(HI_O$pcp6@gRBWukVZ3DsQ|kY4z|P@pw06W=S)I3h{oiy`IXmXxmEwBr3eKDbE|!y@I<}oXg9L1#@d<;P`1%@b z-TFLf4olHaIrUGPq8`@T`E;d`fJSd?l*|l4Sp8aNk~j z>gr41dkaK1OrXqmt(X$>j~?7b6UxxI@lUDHdFkaoJfAdD1`0t{X$glK92bf6QE{cV zPdp*cxiP}V{=S{j!(OnH20+>DNbj{-BsXVh%rUA$UUDuPX$w8kfx<=X`vaz76 z_vNOj=VfP}-tqMMYWqgePs3r1{YEFR{V8r66Rq;)R8HgL=*!E=g=zfM*Ju39y0^=) zu+nbLwAKV?d3$d9&R*+3m*YfcYSD1w;er0=EtlKBbkW&ljp8b;tH1vlJiuQxD)*g7 z+Y1*F1r~+Wq5GJCNXjee?;kqsJ_yN&4%x``qiV`3Cji}FFnIr{dh~RpiSi1WkVk*< z+M^y0@8LRedlu$HK=2A!B=YjsIUzV#2DK!9=82mA!rO7_T(B^}4av)DIzjBcE0tUG7en76_J zzCV|>i}@DET6{8Bm($tx_&rKinKGO9M$h0P?FPc1u%7a>ttAx?I@J2a` zp1`o0)uSA1HDW&Z#G#j=!c%Xw|7z^*MJD3OSl_69`b^Zi+;xMpzDtPn!^r!}ZG+Kk z$e|6^)DZY-WlY94IRFC)M?sh8&rIMfN);h|D@+ku`xE_)%F2DyS5CZCB3ob0%hY<6YMkN1~^d=XV zA(E}{j@EN|k1`ov#7?8V3qu&Iy>~W)X8Q!+HFRtM;BQ-csl5iLewE8^p~Pg7Cv*6m zw`aaycaq&!uSoe4_trz_SzW%y<3kNOM>C1DXT_6(qMDc`B(ao(VZz3{e{(s@SpL_f z>kWeX#g2%groOsXg#d%QK$s`1eZU(QNj1(NxLBnsz0x97=t!BOaI*^RqRi9 zg1NJ~m4!*Gmlr&Wo1Gsvq?a;Y0ufzU@HOBP0R;;~i#J(kwO|sr@E3{S>@52-82nt$ z=h|#_i?8T#qu})Ix6MG0k#V_t!W=FDR9F?ZpGogXYhz(iSMq1XRnguoznlp??)vdN z8Wap4+WWF|>R;7(8Xz#euj(8*f*xAb0B1K2-AEGwyaV_6hcd&{ossl zdk0A;{zdQ8HDzJBV6o<;kWYAbpGYW!wS4^$vU4*luIp(u)(EMHx1`|QRM}SC=P}Xp z4VCKHkkIm6F(%Kopd2Y)d`e{=!wCf|Ex38rd_z{V>GgYJJ}Yz(QNoI^2L94hT7OJp z1GjRXHJ#w5K8}dgPoV)oi%EGh{w6ub(&Ly8W{+$W$P!$M3SE?__UH|Pp4r_Vim)(` zYn((w-Q$r0f!F6Tqv7TG5yg{+xV*yS$+O&Aukc8g3LP6H$0zcS>Ymq+*`bLt+ONYu znrdn3vi}+nj})_$5j67}+#i-Q99E-vIuZ3v&e_;GNwWA{UmGjp9Sb#Nwl*@~p1UMg z58LQgcwrUY4@Sol*f|h*dZw3EvgQ^DZOzymE)G8n{4p@Zs*D-7M2b`1 z1e@p39pLZCNYNj}^*+;EmaHA%#)NjbRIMtm)pez1qb}>mb1KzMe)(F6H}|>s)2vLf-cJc=Ygn<_CbrDF zXS(mYt(787frOP#E`t4DHLG8o*l&GeejS2Q9QB=#AEh}MzI_tvPWonQx|h0K)!SS5 z9c{V6E}%TpqdXW1TtRF35@fs(GT5}{&10dD{BHd$KZ(P%O^uZOwQ$B_hMFye=*;o- zbd)JHANRWV3M;1A^2>v!6ggUCB$AVpQvqeU9CH4mJ%09D3oh2jY^Fv~|~*yJL`gW$4p*&1-_1uWlxby0^8`M)knmJtP~yFEYJf z(sRjX4w3^-PBd`Iy*0;pgMKdjQMc-#1rNdoXg$&Eb3af_&49g z`u~i}`Mi6VtVE4@?p9h_6f-%vnKgI_f>*@~a&w@-kuv)6!`;e?P`3f$QdQ3!?%>cu zfQz~*uWq(y#_;uw!in3f{Ou3H7SoYbssypk@*H*4?+*_RV=1j>>&6iLc`JR0yJ>H@ z29CJI9ePE_8;>^aT&3EDB_1f(O*u+y*GiPSf3159s3puqE&Jp6Wr@6u*UeB=ihaW6 zpBHUEFl3JI>4_JOOrO)hLt74}O5qxGMEn~1w7hspohF<6w&(_2dY&t}M;jh& zX`>1Q-wtueCr*?!z?5w@J>m1@7vP}?&4G~Rqa%SXr_Dd7uv^=@T$$OZ;`4gy-L@%O zJ+rosC9{}6uN=h{so<@5WFms8WPI@OjEYr8H7gz8CJxci4t^D9evwG{IF-Rk{4^0n zcz$^)Prc-Py6Sc}PZqCW2)=&x6p585jlQ_|TS|*wf(J9g==#DGPnrED!Trqxv`~SHhI*)~%0cb#nTC^#q$MKI>~dzV83%m-IW~zf z*)y;@HpW29dYy9Cmd0wg+=%#oW$#14(?s?g(eN+XN_CCgOM5$sC?F#77~t+n3+O1{8yX8cu}#c0=lw$>u-HCJPPc6^RT`2kYpo#oVe5&5@_Hkz5s=QG_qPb?-uIt& z$Bk%hgXoy3CW=Rck41$OUZkqe2wG50HkzI*6D3Je--E}?%8Ci0VPp5+-D6~q9NG?^`quC*ai81`_YR<9La-WKTnQYQ5;={Kap1Oj%#xlR6>Bsiem ztDkOyu0fj@a29!MGNLNql`TwSsnfU|x+cl4NJJv{E?miDC-lY@(cc4F^kbu=^ajUw z@?ZOOT0CWF6OyDvO!GDE^}l~gsbKYUtVlEf41!thmm5---$aYex8m3zCw0vCFmVRr zIBFD1nTh+6$G!)`$iV|>`P>9r)&Eojxa!+j5?8imGVv$7Fa-)iZNt?iQ76mU5-$ro z#>A=Y99h15$M4>&OU;lM78RpCbfWE4+{M5L^m-%b?FKPbERF)7@tyRsP4}iZm_MYQ4)qk29>`?l00j zL14Oa%JP!qTYVRoc#K-78#ZJ}#24viArj4M_tF6)ZqMtO%e-E$Kz;7Z@%zreV|*i; z#1Wlp`*1l*W~|Jnrlw=}TB~{mZFOC3?StcE1NviFT=p1Jw+AT&kLJ-j+y}HupD~Kk zRy}N!X8zCu37DVqxmzS%vn#cpMt5eh0|iQTcARh#XuJf3V8%fGYj-~I_>W9V_es{P z%iVRl{1NQ72Zx!IRvr-avO(*IITCIacEh<2)8W z)=>~2EMTaf@HW91CqW-4kjaNBB}_1k$Q%Tp(Bo_O8K>=GH{}Hr(P__+2*4&asZ#N6 zuMe%J5ti5Wxl-2gmYKVb6nH`h(pMjZ=He>Gqa%5r3W`H5p;XFL)yM0qOi19D4^++l zY?=l!`6c{hQSR~&NMTX+D)>WXw$(-}zvR(p?lW&stE*#awHOcDKBD~T!QU{nvKomt z6b%h2rWNPnCsxd~O=q!;sE)1XDr<6CaSzFgz?a>h;p}5{+K{sV@$PZ zagb)PR-?94&(X7%t7Sd-J?l-AF{ic z)xG5rxqG`*|13*#4nnPWG-)Ugg3T-(YIoGTt$d?gex@^Q{1RGTqVoJSjzyKGc>Dva zT!){oTYGeZErFbH!GWkT^XQI9tG8T-!=|JiaaJqA+hA_BTV&Bs9c*L{XU-f|R-aGIq?J89trFnVj9cfDnDPfH+`?ja*1o3o^q7&m(Z1m=yUbD6f)8p1$r z%v-&M1qV|w<026bh<%N!ESZuO{;K zoA(a3y;~RjFXxIeqyjJ7OEHt4f%*+v^pU0$5sdjiSs|_sK5MW?&HS%VTjeXJA6a%_ z)RL;WJi~OHgeb4FYOri0LaQK-=95E;;G{zRomNb1b1Y@n!Ro;k`$4)8hUVJukcR%n zg*eL8HlY#z6#t)DV7XEd(owR08D9-ooKL72mu>zl_G5yhvPKJ5cS z>D;mNt#%QaI0*q|9t4_|^@;SF+zuy)wImba=NIRdUMa!R__bT<4k@&KV$^E%@ zlIR~?9P|l2w&g(&*PA<1Bj+5d4YvWZsMa}M3U!_+{qDaNyQ|o$MI&u{HK?mbkNKh| ze-6dImQ!E#mCC+ABjd!Dt8PhGQ?vN@0Prp4=VyLy3rd_Hr~fDwr}S`b)VMtXQ~R9a%t+*)~IC>M<)P?C&9m$1G6j~y_+ zS_TKid|5?C73m&!mgbq=S_pkS4lulvV=Zw!L(dwIm*wLPZ*pJXj)1MT3QG%$tj8*A zeBRc_Bq;7^?vXaEhTcifK7QJCV9OP1UW~kgH6og)Rh#{ z64LoyAhh8c7++AmsrdOVy7nEg%G@YZ^Q0nTBuHnJjiHLIy<+|0#$6JXRWyhdJe6dktc@N?ObPvIpd()sbtcNKb4bkKgrlqUGcoeGaU&pd+Zu#f}y*xNgXMK@lE(T0?A&(!6)(`bOvlwDqXd}oqM-=#lYW- zy|a~7SMP~!e{)lfcd2Zi2_jLs#!fpJ%21Rc6M;-p$!apO`TP!faY39y3Mqx%SVPjm z{~OWp@IYaab%jw4`hFPldprS`Q{IRT?1(LFcUw99sptoYF^R7LE!g03xbKbu(gu|cM}n%{c*y$5p+T|s8MLx)H#Nf@6IX8)RI?8$^uoxie*Vp6 zq948Z^v7R>j+fSHNo3s=qKm_4`=Biysm%c}^IR-*p|SH-h|?z2)Ysc_E*F7{S|1_W z5o1Q!f6`ee2YSjf+t_Fxn{?K0<*8$XO=SqrwziyGOQ43aCflbwMa*9O7&Rc}N2!W< zZj~s3`)`s$#6*_i3(65jS^zw*9a$YDZq1;L%44;%=(K6QvGoi5PBuf_z$}T+vZZUJ zvZ;xQtoB>+RH%?&Wi~84ol=IdVsR~Mp_%=(845~%QBh!bcWU*XqF9Vpqh~;KvxkF2 zYd+w<8@51cUIh(?sD`HK#myRXn7y^DPVeD zDb@bGUQnHm)px5)HRDFAy*E4wJ?oR1rM=9vdjmJ6$$eP#D)M-Yk6oXvXy`PcCvZ}T zWia4x<85c<%Q2vxH%z$XdK~Ycd;*NuY+)rPW~5^|v0go``-?u=95wIK6)8MYN$!Ql z@O$5yalr!Pi;L4Uif}W|E^VtJpT9ntfx7In8gsdbi7zg9uFKA-klvMwfSFl`s?t^( z)e?*;?OmUVLdVja|EROx*q$~9EiG{ zHYrHLTss9iOR8WuKyv9`#0lFy8dA48BXt|uP5j!?RJEhsJ^M~+YJ2%r>yNloe_pxI zt>;WgnwZHv6|;CAlkKm{5@ZVaIGYj!z+I{5En!Rs$7|}6=&1UmJdGT<-g4C6yKHD__wyvM2m2G(+E?wwXYjfl697le>nmzszE{!W`jBZ-qC#<^m1?cKn>)|q5QQ{ShJY!(a5SqhTWw8FUM^X_ z+9Ip9wLd9>!A4gAoXjVsx?M`GRpGjSIilMG&#KG zT;Tm-pz%^y-k0{ZC#=Z6KE`ni8?E0x2I9Bs+Qn)~%+iW*zuKQqQ+An%j*pLr9Zrsq zS6|QZfxnc8J3eg&$Q6OHmm?(-ZezJj%oF0lX`!tt0>*>82-%!nOf{7iXSwQu#!fj8 zq#~sE5ngr@3k$#fAP*`Fz=4aUe-7}C8e=3GqtSeeJXmOQc6NB@vZ$ySa=J#C5tTcE zO)eTB&|oJhW^3mCeN|Q0CnIL|_7}I8`}T^Zs)xtDl2U>qy3^(KOl*FcJZYojfI>h@ zR&MP==c@VDZlBm;`^EbOjkIWeY`tG5*eNaIhNcw3n!GpZwYMR{_+x8FheybG+_n!t ztD3Yq97MLgj^^Ipy~9jIm%ko+uUgd`c3Gbz_l#k6*&Thm((K%g@H#nZ5b|)dnR^|Y z$YLI%QE31bKv6Hj(&A8qqp7i$tC!}&LNa&oCqe{Zbm!_`yA~`*9>boIQibC(#?x$h z+t(a_2oDe3YF9crxSmF4wA!UPCcDn{(!F-PUQM>ye*y5oKQ`tvRv(qAzBt_`gqOcm zUtgcD5WcPsUj#2*-euDarN2@ozLCgRqNaNGt!Ilc{K_j??mgp%Z#t;JqU6v8?%qSQ z){|Yu_M`%eaKOD5SQ_LnG!J>sHwc6ju z=>>GF=_a^1-@YK>Uu;YMcP&85^5SdZL$RA=(X{?kj_(7F$KWI96J4QIkQ)PUS-;q3 zSUUDGJ#oqh`qNdfCZ(k_orYL9*Cx(+o+K%9Kcb={8V7sH18WreGVLK4h-jfww=-+J zV{bIrH4hHxVAtjZ)tRmEwj+9!u>ikcpWZqr@=J4+92`FiO$7GFd1aiMvx<9B^e#8s z8D8C3QE;b!g>VbZe>dsZbSlAmJR!VQa{gUs%xHk?_}r%$;35{Y7;?YyQiL4-j($(jO=Vqh;q1J zaP>--3BK`kl$-|hgl8N!UDZe4)}H%guKK(>+!nrED1X45QSJ1o*yJ!@oN4+^qs~k3 zV*WE#jTTpcKSo^q{f7_MR%IOW(~XE&3_7D#Pj(ete%-cD!w1!z5nFIF>q0-JXk|WO z2Ue!pOx6@?9N}7);BWRlkxQ2c*E>G_J-v2^9jXH65yzf&%S&AjnL>@#TUWD&vbl_7 zNE@}%g-G1)Gs>MZnorhV5AM!qk7yA|#TTh}a8oMCcQ9-39u?7W9uf<3^e9|iOFV^kf#=>C^n52N0eQ6&sw;^cYHu1eS2eIFNXC-us&FZ2M z6%H2O+}#x+$QawXjKJedjn*ldzy>-ddBqEV%1Y5UU7#;ve%=nl+3JlXWIzpGT8hU* z#_9`>&5xuY-z{8n0@ime2-gV<=8m+qwbD{^6R?Wfvl^*VMZ(VKj-2S}%gV|i(9qKU z6pJL|=547gpQxgelXUKn4K6E*8j0M2(Ly|f!XL9Ow>zM+_76VI6F%*C>xs>1+zeJN zm7%+6duz*yI^Z{yvOdk0-5x2s5%f zI`3V>H*2~AMIUb-r>K(t9Z248p0(FLZps(^xHRqh&_c7P#i0C{$96Z0+Ti$R58ITF z(7ZxLbGjB4*Uiq70Gk7!>CgG={>fb{4@s&H^s9ed{_{5S46C?@;1}eO$BuNw+j2FA z+R5JDBt>a?znL(Fe{kuSIh_<|!=6wy=xwM4F3`b58aLm1G{q;8u6x`Y#NLz8Ue%m5 zx2Mxk9o+o}IjDHb+>O`USlL)wJJPO8Q**`#uicrZ&a$7=&bcQvl;Zhf0VCXTvMbn$ zLbueYcO_{p$*t@&0BA5r;6pMX3ciFWhdkC;9;uloNt{pVeTj&pEwAFB4?y?Uo-A9> z>ybh37a-)7)71(hXvpV+o_Jf?L>3e)9jvX@4T>RdWuE%F2Q3In?G06rFV%pr>B0BH zc-Nw%u59~K&~Q(n-ptQ__IBNs!COz`1ma_S0Ce*uk`9eMRS4|w+)P+<$WnmN+QS(R z=Hba>#jojBnuGRhG*n2UkvNO6Np3B$byZa!KK z6yAIOvNe~IGI5549aP7}qKV!;5b)R?S{OtqKMxPvO#X9Chrc2q2SF1Ju-JOO`o5Le z@Bn%2fy9p=PENJ8f=`Y&{>PO)nq2>kXKT8CQeK|7jhFj~0wpg}!i@xTzElippuRz6 z(xGSA5HTk(ev_|8+shb6DjujGm~785o3~sN^JGgNV&a{_YWmARG_h_2C;F{(& z(ra-Sl`Y~mvgMDU*#N~U1>WTqwAiwlxw<#L0Re~QX3EMpILYQ8IE3vj?4_mAfImG? z6>=GLk^G%I7mK(SWCrFYDi6sHdm*7mfjUJkC$eomg=2<2Mu*OtJDVH7;&lrTTP|3( z_Y&<)8@OPuTc}D)ivyyUN9(sVERVw8wB^EL7$_vGtxfXLxTi&&9Ku5(y*-7gjH0Kt zQ%VGkwt1hhBCj>X=kyAaQqgX0A-@TSc^xm5A_a z|4@40+c~#0c>Fs|cKE{yA%!>p3^fwSXKXQuy{7%%&2KVm5gza@%5g|mb_e>ool5Nw zw*gbi-iJj*7tS;l=yn8d&<@#i;k`NAnz_^TE9LW`Jv*6SAed92poPdh>{zm0V}Hhv zbr%+qMnG|ll{EUmQxe_xcK?px*e>u5;0vA(kGv~_2>7%N^7ct*b{z9&xLU@zu@j?T zO*QpiHM`vi#LE=2a&hu($r<1DG>VQMDbR0^skK@yHH1xf4`G#U*G4h+-wcNMN%obr z3uIZ`rcmuJQAfBe=j~tW*6&H3iEU)9%}n*W-y zxZxY4ZIk;`J6E9hSN&PPSVE#d*qYw9@So6VLxqMcm(8HkH;<}Sr;phY8s*gS_O_RB zwn}pnI53Hr(Mt1pt;ocI`R$hcULH*}{6oF-A3{*xLQ1;9+T?|Q(-bZelHe4p*Y(}! ztGIb#yOpmLGPe0rqf@beSLW|_IT)RP9}mlQVL|ZwyGy5Ln$rFV`H@q4K+>H8b2qNTTBs6D3HiszR#Lc$|&*lySo9 zUs8OBb~fSc3>)}BS!yw)Fz((H5N?7J_ujt48hH7!(86!Ng_g~0Mbr7(^q{8W9o61# zqa0E#8QPy@FdaQ37_p54my2*$%)jNj$36kRvH29`)Y%rUV>mX=P>b>=N5zoj%jiqh zQ3xuqet62)mKiCFO(xS&QkzrfVP06ya&hnJJJ<&4!j~zX#g-QF>rhXK|3juwSkSKf zX~#Y|>JtN&sYkAYqMVxX1_CPVI5~cM&GCUTiYxs7*iV|AzaDS{DC)PD{-;!rDER!k zQ+}cXSF;5$u?oBSbxozIY;&)NZ3<|>z9ToKz~dPT!^g^-6}ye0rG!W4C;7_PnfeHh z8;j(@E{~3|Qbq>E@=MhA4}AGE53ECQl zN=&&xwd3GLiDK#a>}>Bxm+GLBQU2c12$`RnRjB{OBr!=}id=E+?O9_^?q|}-yeyi~ zuA^cYwT7|7-FPHOva@j1Fdmp0@a-MS!HyxXrA@C?{+E$10M zt)>LkosWn)43Y|0(l$Haa9?%%i+XeTd4#~Vo7iI7=$Qz6oa%gOtfD#TblgydipIr>ZO zi~SFFbCN^`&D}Cv1_p+kiwh6P!rsdj#D}?RaFRvx-AIv0Hje}Qz>_)&hD-{x`_OKm z5Q&|!a-$k;VNuayy^XHDMy1T0UFtZ}NY%F`Yt{_sc*ymFQB2OJfx+_fvV}$QGDdo& zjdv=EK$AP&nTCPRZNQCtELlKPqnU|D>4yD}y!&dgVL}1=^G4HjD8uE>g&HfU3kxrd zrZwAN7Jm#B$lX&A^uou)!0Q*M$pL`#&))nGAQlPVHXmCvvA98Y>w-|czgL$B`|JJG zQ%$;3$$^L&Pc$@)%w<9JsmhSEQcZjGFsee3n!p%QJR7a6t-2)cFm+rPvPYY4n zWKWuk6?C8OqC+__?R1{T>OE!o3U|#GKMmG)45vcV+`ph%L1gN31gXe1CW{yeMzQje?KlIxKJL-<(O9$7k_o%Y zp`$Mrws?JvQXP99?(Pc%Cs3G^Kjt0vA0P(_3UVsm{POMFf&n7?cziBs0a}euut1+I z0gLRkSuHPtEYYV5B+H7D`YbMEC;=MRAq?;`M!O*yGh zI*Q^(G-hIS$7Dx~X%Xc}G3FY{FyWm?s<14zPn6R~m8Rnk(urLrKrW44@^{N7mlV$U{G!Fh>Jsqh7Q{9onYNeuq3@CnbKSLjPpD`h-BOyN2GWR;cHWg(Y?%r*>b z`RT49q-(M=RI>*^Uo=?%bU$5uh8g3(Dp4b$qNl2g9iXPBt~*XiFVMUnkeJoikH%0lJqy|m|3W6+uviUK+L((&xOEh6dVNDO zfSCW>=Z6-Po*(~ z^;iSU4XxcW`i8>62eP1!@KpcdOa(uIo+MHV7u96 zlwHr0pAb-6G|GZ+STA%?2vsRrcGcY)RpfGt^X%?lxPrA*M4p2IDt7i{w?|q$u9^~b zW#TKp?($d2+1^(R-4Io~pX*+(R|TeFNqi)zK1=@E@MK<8c?Na5{ zSf7UU_xB%aR-xkg*%@>WbxQX;GQi?t-f6Sj76(9Z<5Wr!JZK9i_whH9!OjOo}T0 zG;;+IMT;>a9o;{M3(C4NCgd#(7hLE-G{wi^XLVkwVV{84?WVt56JIx=I>%tK?9?nM&2EjQ6oMSTNh779II*X~p zS^Pcf4%1yehqv$7a;_VaLY~PZc2#y%*$+6&ex=(hYNlZN4sv?&xZDcva?TWu3a$M6cN9J`NxL6=vN+4vr|IK?HE zhOJ3TjY~W3S~B5%N7mx0Y=*}Mva04%Olm4SKZyc)ta;4|;vQX1?83A6x}34Gp@SvQ zFMX0qy>5iL`)X6^;B*yrWq9Bpeyx=Tqd_ ziT{p+LoQyl{lThR@`zAZrSjyq3QXvur`5Wdj)lo}E~c#AN!SiS`>p{Et!Y_qs=k&b zqhjH<>Vbc7aOs;BGmN!s&;aAVn7nQ|C^*WzjFyn|^ zP|?)&JPmC<_sYs#q)p_$IT+kvJcLZh|1_0Eb!*z) z*V79P30xNcDZ~q0iNg_ank_-YVtD<;WjJnRQwJ&IlI3fwEz1NEae5(Ne8m*kS%Vw~ z$NINS)KWcJ3^(mbousuB4Zi{K3J@y9H~m4(Tz3IJEtHs&RSwLSht_zXLwEaNIxZAN zoAO*KTSUU~vS%z--Of&a7e6C8I4BN|pXB1adt9~JEw#eO#~3c3L*jyF=Hu-%pt9!f zxmD=<$p--f_JbwW@BBco8||sz*BN_qai0lGfr`arexx`Sufwp~>~l7tXx_T}Az(RL zU#D25^xF2;%*ygTBEGVk(p|=Di>@}$H>^!$ef?FN7D!cVtJlB{2~ToMUY_&W*&{Ra zkUP|{e8OTeheo!w85kDoebz3}sJ!ynjdmk~hZ1PzDbXd2HRbfg25w?p)*c&j91*q@ zuZPywP6U>892{+j8HQ^UmsC|%ZPpr#D;i$LJ`!4qXUlVQ-9A=}@J8;>6z2uF4M=~S zo02)@1I;*8aOPJQtBm0sY*C{zF7{4ZzPrU6QiK8YVtl!V5{2G1E;tlI0<&V9y_*!? z0ZH>NvxhrVKQNfP<~7osjuTsb3MV{4DTidJ_vceMITC#NB|2<$!$av-#lyUO!J#VVMelgrMo z;poMh&+9aZJ}CskZJh?Kx_D^OqW}o0>z56QTMQ^%J1=S}_8CpLFZ$oU`G*l7hq3A? zu7Dg)`hL@j|L{i8JMMT+H4izRL8;3Aa1`FVm!2hZXM9$hFU2_ zT6MwK(wa67USTOG>XR{1RadVy+#Ah`5y`%g`AGQAxTvw}xn1=kZ6&qxY$(i&L)Mgy z%+&k&d{{cRc4yD~VLw&c!L^S`nd0XwXXcqY>>PVdy7yk;6vqqgomH_LUZpn_h?eCl zr`fXkzolg-PtZ@AtRnGf+-j)&9Ggu(!73;ZEg~Nr9QgEJ_{}fdvsFIz1!GE2p_f70 z5F$i;tzHf`;opJG>Ib~Zw6yliH$_ECSPX!eojpon2_A<9dVNE;oDQRjk*lJEL$u)8 zEh()LbdO;3Iwx7P$?j`+?mwLAT{ScB9@L3>%F%)=dUDwwZ%^28KE`H|4;VQ=(+^gi zoSX!U&qWaMX((ubt9A*JpL?WK@;$j;CQoD*NDk}rs{BZt!kIH{acUh1g4A@4R2uzcbw6qR{nvN%!a_PLix^gD ziuy%?yR?T6wM$C>>Lfx!43ma#mX`p+{hr6^1`e*4u_t{ffRnQ=KSrPR+~ z-UB_Or#tGZaGakpzI365vQaC$OGwm-0>a6hm@UyOp#e~5Ch85Ck<^@5`%p-+@9iD` zRmuu2Gy#&=ffbm1_g4*5ErAKA&{DjSjm@bUa%}L zdMm1|d&FO}$IYI85pgD~3%6b}N@)w5aU7y;PSMaVG!VK(uN9k9aLP=Bn zc-Nk>#3QDmxkNK~Bp%Y(SmA=1+96F2o56tRIq_;vp1rE#kDNu#hU*>AjHIX|zc!m! zRBD5Sbd7?9aDI7Rm&k+t5x!JrX?fY6?n2Jn+s;k6Rm70F9ZB!h%D9;1NtTJK82+hL zYg?tdfRGeCPEYsIp;+ShqdRPnA~AY~(p?luupDJCW2)qreBH4tYa6sEBiu-zNpbmu zeG*iO_cS^~`;7XYf93j5*t#R#j%^$iafTS-(c%+zd2FrCK_*G7<%Xi7k*J~fU@1>V zd~jTf48XwL&;%tZ$j7J2h-~hPJPP@%rF^EX; zaCq)+8zQDwD4o_s3@_4DROor)Vs}PZo_O|mh6FJ;&(5{#g)n3F?18_E#rJQBzt69; z1ImTcMbn-=dS)OKvxLlC)XdiG?g^bY&m5Ge7TEbd;+`!I_ew1x(>#QnsRK%hFdBt&n-X+)kcJ}3qYlvt zGyX*cFNRl5e({}s#Cb`|N8EY#)9n31%$H^~MUf~0EO=I7P#8Q&|MWcbOAyjm1i!v5 zG`un^YUmf96xDoJbBtz+EK#UdPAJg@q*f^Pnt_8+f7gzLmue2CBHi773!D3EAIYL;LceloTQ z9VY6xa(X9F`~zCA4jtBr=->Y}#zM#J_s^vNae`og|Nk+$0r=`Hc{f-8`p?FTWt`sz zIDJoRC!_EEkYtF^mrrS*#F5;=C!=rvJrD_wuSeuRUsvM)fBP~h{rF#$ZwAze#R>kBKeAtwO1sQjnzw+ig&z0*?C=*H`o>W&RK_=;$0HkX*2_e=*{Qq zJfG^FP|G+g#K0$QTRiX{Er^3jZiBM-`ebCL=e;O|OeqF{Rt|X>C~Uac5Kz^x^lD+Y zUdKEJo3_as|4iJKn;-Fpw9Z&%@Vy9Pt}q4goVL9#6DD2v(0F{9AI%k6zImyxN*nV` zS?m)J|M&l3yRUxJ7Bif`t{S^iunf#aij{|qF0H5FzMd^K4e(e#$`WF*?b}uQi~2HT zlfO%kzu%gr@PT&KMX{an4&R#}MR-Cx&ZMDcC{W~6-R{LBuRY}FYfH|6Z|Dhz_i9P6 zC!R%7b#>KYTs@b6eIPYW^W|z|rgxO`&vf6nVR&>H!M)*-6-Bw($|w@n;j(pCf)9lM znpu{PX5qz?5xx^{dc24rM>!QD9P_^_4F_ zOQ!TwdykUJ8SQWq`%DGJsoz#sHFPJqWHnvwWjCyb7U*VE)0CT;NrYfV_fyLMyB46w z2>{k{F!P!n%M2b0qOCm!523db5adzH3<&RGLs`HcarqCCy0dSOH7FE~liuDb_D5yY zZ5HhCk55Zoc5l!c8zk17cJti2sAOCCi1%h9$BF^K_P(HjjcMyS^=`2!>>AQhaX7Zd zw{pyQ7SM-*Bc3{e=D$K_q}bWB(kz>3@oS6S+x&P~p1;hVe?cYQ&GYOf=OJZr;D0E1 z@Y&>CcUn-VtJEi+{OV)@*naqkv3>H8J?b#JEgvCzs0n=ggn6o(eiQoz;0!5H9@-7N zQS86Zn+>_n=5f(3%=N{+-+#oFTI0VGjJS_(8QS!D89Ezg$sohw8r@PY3r}7@>sD6H zAy}(?lqmfSF#f*_g($g&Kf&EEZ6dXaWfVN!!R^Ez>3R-cE$9B;E*W%Qj8R80&{Ue3 z5t_-itha_V#6=~6G;>e)^uVID9-D1{TTXOO=$ZNj_sX@8x>Q_-?UCM-IiEc^M#k)6 zHD6>(SMHP_)8|nNDlT@~c-ydxw|vD~t@ReP>}k%&btk9#(hk`u+RWbLK&~c}$#^aQ z|Frj(VQsbDx+s0&g;Gi>P_$SnQi>IKC=@H&;udIech|PG#R?R6cXtht7I!J`4hg{_ z1WU3f@3+?8>pRyv*FL|_kF!tyvyLhD6Q&zdoVn?#u0zs60Pbs0?zf*osy+#uSFZCHyAM)XVLCEfjc|qYN;YDz39u zPD}!?T-CXaN&i{0=+SHMDrSJgs?^ld(vo7|a|;ZBM;=vC$(Raa;0IpDtxmu7bkS>d zkGmVseZVV0$RzVO<6l&{JJ3hFGb2>nfBJs+(n?r~dfN0I!317GG0u?Ewyr2rwMjGxbSD` z!E^gNgQ0tDT6!C5^`=X!kuBZO5d zrF;9~zhzcIf(CnVl{>ZyE6zKLmY#U;$d)y+q-G*_)b|f-9QmXf{ zJlH5st;$y#9gO5{H?|3MPYwDrTkcdA`jKcy@OSi+8{FCoewdz(@875y&A7ffkZ@V8 zHTjo^Ad`>eB^=5U`ia^-ZH*$f@f>IwKV%Ay^coHx9%a6QoR#$ekpU{2F#P;YAG+CS z@w@uMslnXH5HBHxW3g;V=qTRPTm5;4%9{&oexdYU?7p2=<~_@2p$;()0d)2wk%Z+=uRrx5l~?lm z!ratpRJcks_Z~7$9w}Rz+nqGzK?2b`cts6)d42sihWk1b7FJ2VAu4H)!*_QCQdw&X zQuJTh{@HMjzTLkawWYlCJOsEOHRPrWR(#%6xfsm+Q)c73mU^ zbB60Lyxa+r>wUZY^?m&lJ=g9eUE9wdBxedSF?4&6!OIzuRlR>WUt3-#(rO$*#mmhs zbG`s(y&9C$n2m`jyd+Au_wxh4vftyU_X}I{>uh@wT}dTck=Wqn%Jk}CcOWS%9{ZNe zBlSIUD4S421L`WI`K1_`*ZI(>C_TM$cUtA6Wu^OG{I894BePxp0wrb|5wn^5cqQ#e z`EnD+%NifT<6?VA4>Jzp64I?6373>_vQl^IN;JP1j)~s%SAaO1;A4Ff{%|_=j>zv> z2&)^>MXgX{9obv<*ZCn8%j#qyU!4Jg>3)G2JC#; z{k-+t(*t@pQi1PGt6-Y&WJ5->GSZe|;!JE%Eb% z*2E;k?uyT~ypzJP$5?BxlQ1kVHykBjBP<`&4MX2xfBF4L0}BXpiXpakoamk4Y#P{j(rpG&-d@`=@%!hKVmp`{ zU#XZ>;rpJM?UJIFlW61zLKMq+vWq-;tADDIQNZP~EWO}myS9SnX?bcPiq|NA1NRv_ z-^g-T#gvqst~R>q?==Pq$4l3b!CsaUw1-fcmn+;|9-^Qk80!NaetOJw*^0@9-DAn> zR?n8|>Kvk`CE*&UxHUl{Cr9M`5#jL8{1o!`|%@9fk(9cwuvAsCgYRy2MH|NdHyI z$|-OdoMP8X*giS&O{*gm{9O>W%98 zuNzfR02A}9Z;yMSUFgk4idDzFEAN5KpF-yMZ$03+Gu_hFmEN$Nv0Sx$Ll046H%m)3 zRT>;(7x?+07@=iH^UI+Qy+Ubg?Pc7YpS1y`D^kLR*AHc&#R`7q{x+Ro-t4MU%*J0; zbt$VyclbY6Dx8FMJ^ki&_@}@viEDj5@>~=&aAV>^XRc#uFz<`4#hBTqK`v5L!$wFQ z-;q^jH&^|!vqaM}Mj7PD5UbH0C;^7v7@J@Q(yUO39nu4e4%fr=*}ekEyx?)srI8_- zu91tsldrYn3K7xa&+mD*TMX_2Ql5Q5)bk{|l9N`w_%MBJ2!8LQI4SFsWfXV83c5Z( zjt~VOzZ&ThIa(M!G``qLwt~!?%t(hqbAzf=AIivX_VW15V!YiC9ez_yM40%W4`l5n zAkxOwFxv>kDktUF*i}}JwvVXs>x(S-ED_nn#>R%y9ORfLeU^X9 zFb8aA3J80s@wXlw$|iF45ln7RQJ3+e4RJ|IaJ8H1*Wcj4F{H(yI>wora#v>j1p@ZJ4(9$2Cv|ATBWn&1aHml^|-4f)p8s&#lHg5jDn2kWTN9=Vgke$8C zSz0n8CN7({<)@ZA)sb547~>3fgtV+e0s}0db2~_s!Jt&eXwTd^W{11O>8uOeijG%V zl@^DX*wAsqggywo-Ch#_M;mS8KC@pNm&C4;su*eHUP%PEcp?h~93FH18BXDWuV#TA zPS-9R-3BjJU+HE^D=I4XM_pS?gmJ{E66j`un>;poF=;=OSY78C;%_A#4-c6+j3dEU z+0?HpD1*A}(g>;?F8h(neT^=%5($-_ujjDG9?sQVu10a?pZ0JW`fe1|j5T1~G8dDr zaQF3Yk8-1?p7bOJnvX>HHQEc$nvXZL+$%uuE{0L2iQf*@_FY7EY-VJLTnGp_KX&x} z=vak)6nLgko-;xkeIe$x77;(k2Xx+a^(e>BL{`?H9+V*9pE~w~TBE$Z73WAfE3yDDjJ9kvj8vYgOD_On200yO6n?CU`lfqZ$zaDWl0c z>3-^?F2|gH1u7V#EkacQVcovaEB)*28r(;n z7g^-qk{6qYU)}I1mXiby4wKO1wrdPea&`!1s;J%qa@yyu7^LNDyx9*3z#zqwUc4BT z$hz!kdnUOJMYelN@t{NMPanzj%oKocFJkpmYy|BBztQw$iog>@X_QZBY)#yzX?xrfqYh9O-Lo;6tKFlwqv0p*CW{=59bN$> zh*Ls}S^4%Q)MUKzce9)N6^u}Kz4fThn5RJ<4nUm*aF!!yO zxpG$c;cmi%!xzTm4Q)$|Q3B=`g=9Y-dOe{yr1Zz%{Lm*n$MeYZM=+?w>&e)lN*Srv z(Z&qjx2pH6jSgujJk5@l5122jvSdL|ZVE6_K*ah3eEbvOb8#`l&-wiMb9Qz(BB_s+ zl{M)4Dw+%(^%}n|WOz+xq=NxM;LOrO1hrr7a7)D6O)sB zUNuO6{^vtT%`fb{_A7ZJ&oW2?GG2D>n0aVA$Om2`yKuH3G^{^&%C_6rl*;$`@WEI% z1BlchZbC!^BA+6LNI^1k`8^VOA;ST-2OVq@V6oP@GCA2FUheo&eGLB^4%)ks(Q5p& z%MhUw{w>zxp6cY6;NS?mQt4n^g(#X9-+-YQ&7(2Q>9!nC0Xeo7B@l>X%1;TzHpz0} z2KSf}zg1C*)eKr*M3eW{ZyYp>M!es;mrC+zNo&9GrC*-w)Y|c1>E@aUzvryn zV^pH>hub1=tTJ-Mt)S&vu0JZ*^5!ly>Bcf8;4m0$eSLjrr_jbgO-;?#*4E$Of3i^3 zL6C)dJ)K{b6d#{3CJI@J_BGGW8@h+}D@`1NwTD18pKc-xO%q7(D$-k20c zeL!4}a+M&W{VeX00;e9O$IB*DxPt2Ltk+xxpOo)S(hi1hs#V8vsd9SN=@d1q{01)4 z3Z(g^E2WT_=a|hf8^t(4E*Cz$^7i$mj+6&_A(+NV9#Lq+Xj(U4u49)2R9gsiXq>Gd ziZX?Fg@_});ayUJ0;qhp;-Tu0%*&+pE}_KC+Hl1THHl#Ik*o&(={z3{9v!8hN$=3= z;j=fnOd*yJeY}lxQM*ANAeIfk+4AC~2&t+GOd?y|nPreTYJhvQPQ0si>3W>6TLBB} z0lVfGj&-emnY4YkpX3oebTl5K8Pm26+*I*T;blE zF_I2RW0B;y=uxFG}4I6gW zYZ4$U+p2Di3=R$b0czdQc&dtr+KrROlnnFU!*X`nmI?=%m)El@`q|d&0qf?sZA0pa zW!=2}E6V*eB^#j^^&N*(7kmpnJ#A(R>Me)|kDj#f`r2Blbti+T%GhP@xa(q#1XsFz z30{K=9ltO2W6}#m&S@h%8mZ21Za@^SXiNmvA6p(f%ew8ozFlj<5qn;3dz3RLsMX!Y z9e=dos~A-0pVb&EB+`gwMfbI|{Ig5(hR{o&xGuX7G^Ll3N-J6=O6ou5R+@{NHJ>>M z0%ELA{q@46`S0@lfU=V0VXJYI2cf&8nbRH)6H|`^mR>UEIz1uIOnaR0jD&&F*f^8% zf{#As1YRArU9tA7Y zQzCzF=hIGAo~xX8g_Zr5?TGd(rEQ?R;o5@s@%#OGld&c}jtavj$Eo6&kdP3yqDg*E zetv#HhW+}C4WzF}8r2=_=`uU$)wa&JN2>-%SRuKOh9e|}F_T$`bdqbZf#>Hb_#v2d z0lv}?g*<3y)4ZIqixLZoAXlV1pH8>l%SQiS0^Or2&jM&bIO%Wp-_oJk8A;fG1mE9u z281mIJD;rXwd1zt$yg8CcC-qETmwx^O!&61EG{mZ*5nr!?rd)Z+cm+ii2{{M(5K^n zdL8~n?yQBD!16%vU3U~!h|Vy&wU`j=9iPKvwAeFd$;3SQe@qN;Xy{1-MIpPSba=nl zS)#Ul-D@fo!W0hXm3*5n@N425V7N$-b^M|NESDGhWX%1H+)$nPR?F+=`JmJL)WENJ zW{*hj%$k?m;{6Y5SX?_$A@{HqL>ft&aoqN62h5DGEOc|dZWIoQNKahdrLnNIOh%I# z4(46XYbBDnW1qjzZJv*osI7(R!h>3t?U3j1yEe9r6?Ad1UL&^M@m*E7ZYPE=C<(98 z8H+S3D&P_uDAWRc0LPDcF*D!4y=W+Pfe77ckiAx;@>*1BFkHvR!XisZW*`7bxLujX zM7Odf`_jypxoPM`g(jCL=9j0(w2Macb7ocO>6(X5&BFNiSDr0X`&eX!-rD+9uo@SG z{g=|)8A<$yx@#n&0#P&JbFrly7u#fSk9J^Oh2nLpfa!oIjl|+Ch^q5}y0tl7St(znpn#b1!X%@QC)`zvoC<2~QkbD6iXJVs zv&Z=O_%ZqUOff8su}R54S?)z~0o23Z5L;!BF{wxpa5L;yLZ{WXv``xLF*wzS`|gt& zYHIrQUY-F<`ot6E``+?_fjX9zh*M6AD0Ih%o(^DZop6UJmk3!y z2^Dr!O#B)7!~(k(vnmBeS{bmgO8HntiE0b_DikalLg)CePM}vehEtWBM|mj%#3h=2 zeYR{HRC5)FetyG|lD!;=#ZfAvaqK?6L!_`Td9uwY3Q24teCi(%@fawkND2;0qxWH$HZam;J}(=PsEUHY3gT+$ zS2@CBCW|Wg!xUdHie-XOx0lV!@kl-YCNqpG(?mlu@Kjoe5b|C+nuHAZ`? zzvZ3{A@VsqnF$HHwY`3;`7Y@rk;DmiSBMjbgav0|$p)YK@W!mApDK9&g=cms&eY(| zK^n4SjA;MW)^EH%u!Sn>>P4L;km%{(4~}`W(%yXD%M?Pc3Coo&6m7*-C~FI8Z%uxo zK!KGlUwV$C-&ykWn_x|CE=GskfF-*u4u~^4eHrKwqKm-L$^53;k995>x=#~h^74LlY z#cj8|>9m)%$(&_*q^@$jf@3!=>+rD)Slc;NkPG!%Uv8L+{;A>QnWijGFoP*QUMK&j zm%GV%b8@|d(|#S3OAZaFy+_spUfo0`nDXdlJ&1`3aZ9z2-Pfj|zuVe9cVvIGD7UvV z_VjgRk6*WHE{<7KP@F~4N^H-t3%~0wT+$lg;89Sw_QJ&r>rSZqMm-FOy$NPv71?fY zg`_p_hhP4IUH>4kHx_P*XAD_~Jn%D4v+rK%47pd=l)DZlQ#;cGhlstwb+a2G=H{yT z7l4LwBv)l`D5)K{Po3A=0O~;s3AO*uCT+R=t`nipm&U1U;-}@SSx~Ldp`-lX$|qM# zL_{Qt>-WdxK(j}GqFk!Y{*$87FmvB#>1T9Wazo(cquP1}V$N7O;U7&%pB>0f^6uP1 zk;m989)Agpa4@cT$Z*b_vT|~BtG9T^{aTZc{1FBzimyku)LD6e4EY6Q>6^ksp-<($ z?y|^QgyOsqVrFE-MUhRMWt9zjAf8jhNRde^KqAwpxZ-YL=H>Y0s9!lxrS>~qWD(t7 ze`OP_)ET<3X&U=GyX+}B7b9EIrUq%OlKpeB=Wpz2N$jUG>Wl>R=e^gug5xM0!v}~C zBu*{IIDry`+_3EyzuZPbx`5SUO}9X?pF5MjkOU{iJ$WC;o8g+fLSowjs_5f*e5C9$U)MSD2kIX^? z&?*}%2{DX+c8^C%p7yVRxk};1E}C!1AriYEavV-VNS_i0G^%sLuj0T#sWps+q4UE- zCLL#Mx9UNyewUw$Sfj_SSZL60GsDGCz79-dsKj1)VN*50TeB;y^)vY21t%O42rM*Q)-bMSZ2`O}KX4#K?yi z-d~dS2#^V{UFjY@gqS8=RaIdmyda_d-^|!0eFAz45O#$S7Df%NA*?~$;KzA#&1qxI z{`C8~G$1V7hgK>-J+X144BX~_m|?`^MXQr}6+t&EUptXhrP=U6K%=IIpRp*m@KeEF z@~1`q0vJczzlyxMJO{$tvJKzwrNTBXG5XJ%_A7q@#g?&*VhNZOfp6i2y%dI7+0iD9 zi~F-;nR$i4RN;KPh;d)S#q)rTGw7)#6VOupV98i82cDlTO}qWrc0{FzB=aD%+5Frh zE3+hGXdz4Vrh7E#@Nk`*KO%D;vLks8qyP?}qr{p-l9N3(C&wp- zJQrt48OvIR3rskRC3dPPoE}ibct*D$uZ1*muSnJfnJCQujrF=gOO?d@_ta*;aYkIN zt8BM<1Czz}kNs(VS;s5;p4RaDF}_0TUOd|=4ztFuUg{Yw*@&POyt2H|`W{0m_QWQA z33rGJ{HkR=CC)ChbQcO#!709HgjPxgwyBj9EnoKH@x=%@tpDV^E%V;@*Fwv% zt$2is)ofn|8S#ki1k8I_vq1+w+808Pftu7cc3WsolF|ze z=gkd`>ec_D6v-tGXoKiS%55wwq9D=J8e73-X_2Yui_o2~1^p%_^Riv}9jP;NxL>zZSG^h48oDDSg#c|Fm>rfJK~wK;RoeC5p7?De4T0Mc zm#a7zyA)%#nuc1Y^uv$Oq#0dB*+ka;_s}8o0PM)_XSGXu|1U+e`J(ac)WHn{Rz3=v zov_e~d=XO;Rrz}zSc;$GLN|sO82#zF!ZvOg0MN-t1Nx#Fnn0C+3~-Lb@j;l`^h$~y zp321~>&QV6GLYX#EI-+;*QCMxRAlpIs}*Svq*|z*<%r!_ zj1^?Cu;{lR;x3h}(!kn*2e8oI(4p z*vPWZV%6a%9XEyBpVwp8bCHM}1X&D0T9dr@jjm~eGmg_ys$W+h09yfBd_su>aOD3X zi$ex?{=%=-Qb#5ut`d2=#MB;7_y_zrYvi^)+cQV{dTbxppwdW|X6>I4b8`zt6pFNJ zXjR`+zukk9(0s=j^Y#5tQUg#Yx+Odd+ob*k3$9c_c&zC55mj+UdzAdZ=%0?~s0N>|u_i`EErCOl;Z z=$-Q6pGsg_PsH1wo_~w*mLjAeM%Q%n+UEiOyir_Ph>O!NJ<|mh5NG=y)~c%F%hG!m zU9_N2U7W7&_nf(&%3ce%;;+N!qL)-TLrx)DeWJDWco(~z&v@a>$Cy9Y?VN)B8==~0s~0hdf^E#}P?+qefx z6G{DXdB;xAd2)^!#Sz~R@*giMQi-a3fc42XOhi$O$WcgY+^t&D@{|A~fl&7B<7%J* zsV9tA`j5u;nk8XjX@A8Dvz0bEDpZ?6#IT}A1&gwT1%q@)lKqFrgkbIzPKbg@*y(Ar z0vlGg?N5gHoR>Z`6T1bLa}@RQ=c&X6YKLMQi$_tCg#Nc(H;=&jix5nC9{XQdQ;*Z} z!fc`fH+(<7koHPH3p&TsB%=2Z=MFnFChyaQ}NW=akMDZhEJI z-gW(CxHrouZt8DuuR2CZ>_&-09CN?pA>%*z?cF!UpUxlcI;eGSdn+LU(+zt{Ew}+r zs1lm0@K8#V^@L^`-2dEnfSW3(Hilun)78}#kR4sG4!W1p{ud$(1{L&LAL8Ky6DRPG ziYk5vynX`fH6i{#W~1Uy;E#82?kI`>oL-<~K;+YRAe;FA!}fmFebzR?1D?`I zZXKsY$eXE~)?JYeICjR@Wm1&FU@1%kBY~y++vmR0ykNkn zsuClEW#^f@SrY>O`SruUjPw6yl#N`NRdp;=^Y*YF9J{LmaKmWbt|s`)8(IxK2FA|~ z0nv04htQWmlWfA_0I>VtPF|{=($B-sgimKY%Zh;)%wVmNJXj^Fz3=$B!f$m3bG?%z zjixIkD0$0Dgg${3_-S_6ojtD-|6{y(Gjg_iAfWwDL{bP1Uyd13=g7QW|6cyU_HKQU zl}(8#LZXNwgLX3MRI!-^Sawtrxw7BhJgx#9DVnzark3*lw?1y$Nq4KI==}nX`RgP! z$o6gL9&9pDxgG%1OXAB8K8%cwbNw)G1sz|2(ZF)%Dq!wkXt0Y2O>1gO3)PmD<=fph zS(@4VP1I+U1%c&JQ1paC{i%^pMb3M5ayoY0LeS!5-U{49xolbXwin$+(KCz3XYx&s z0Sn)*7BwDKnH--j%q@M=X(HTPERjRCqBD1XGK7uO#zzY8pR$^Znvz{6)V) zmYXD-%h>6dF3O<kKh9emu7e+x_lI=%p;_ z3azi)rfsJ-X*B5{K|Zo!83?1=Sk0bX#t2oHNZWg)fheq%#2Z;fS-SG1i=?Bamc*)^ zz6zcO-q`@Q4@lt5csqwaW=U(flz)l2Git1+~;s zY(gPRrv!HGtadpvOr>NF+%BRo7cGLpiBiuueqCeiN9Im@o)W0fxknym^40_`?~K3) z!$$Z_mh4xHqnpnX-Zt^uO)dp=T?}#e^Wfs)?J?a9e?CZS%upKP7MH%8B|J8i!G8MO zh!g~ZLhfYv8Lc)L?vf)GRz!u`p=ii(g<;bL$@f>pXoomqbZs9sEOz> z`{QuCzKT>aw}qz>(c~>&GadBCY1e2WM>#fQGdSHAu}XzDHt9 zaBa(#{a#~X)YsS7rPCT!QyV42cUYBFMv7t7l!jVtP2U$y zhJ~(tsJ6&hK=sA8WCgZM>brkN!2J>`G=Y|jWeaF}X5`L&Tur4`%iY4PCS3_Xe_WSd zF_mmNsha$DA=YRyIseqrf#3SJ`@4e1ZK~IO?w0xCtuq#UBKXZXws9YV)3=dh?q^bl z1F_AV!|^9KyN$}HHy^Br(aCOCffatZVV;LmB}=XdKww~zmBfoE2JGYrlzH{$!)*nu z|1eE$%G~~z+6g%AS->&OLD`?g@Ih`i`}IN2aGjhMybIQ_&?iAB5jIDs^n$kmD~}go z*B1?@&u3{GjF&!0RQnE~-4cB>uFq}ZX}+gzUU6LQP6vz6Ufn=@k02o0AVOLogzEL* zY!;9Hr26_#)^4UHlC_-lw*^I~=~nMvL3^^~xygxR#-+JerUp_oGdUY2OeDa&S-Sf- zAD8N+=7N^MYm@B+4+QOv14~l)-kU&(J!}w_9uGjk-g=$zVl#o?!scyI4QD|6g+s8M zvWnu`BXQtKr{BT6&e-yNv3n(E1vigj9AokaV&CAkp5+Va8t)wd7V%e@mfjbBbCxWm z&HNRvx|{DG^eP3Hev4F9Zt5Kx;VY@eNon?2=`&<6mW>4t8i#yq)cV=Rb0A=^DG2szy#mZ*FKY0rPdmdZ+zI#|) zpGEF$+W!R@)GB(7-)a2Ui`JE>g&U~-q~As!2xI+Xe)aD-qqAgsjzH-@+WHrsFnWt! zq+`bYqNIiv533nx=l=w(@qakf`u`sv*hIGf4Fvpu2WV3gaI$8px+~HGy>KS`cVPS8 z{@?cZ|Fxf~onB`yPk>Md&A^p!1oJw;>w@?dl7f|TCSecd^P;1xz#&$~j+uAfYpm@p z4*WmySxG?M9Cn6xMU!wE(8HHuEV(v~!&CgklI8%)POhWr>2Q9w2+_&Tywt0J$)1Y_ zRQ&oxFs~m~2`HiXtU4yevYy;YF=d`&BhmJ0TNn2`5Ph4@TyK-wyKhFAL%Ob|3pA7p zoCx%_`N6;J(SI99%n}i=`Ek6^AwJmD%yg@an^XDc&qd?wF~SflNUN|slXw5qDj_|d zoim6%W3CtSd|ai)(vXbe4rAlBotCbXgS3*Z|tP=~$BD5$33`OIznoho>9rXWBwN&bp~2a^;I&X`$3X+M@yONUi}?8_WM$QR+UKVQDG7CH*|hWiqQrg# zE;oJjvT>4Ns>+UQ62OCE6=?w8f*}@GRt3}9cJzAh{sX%^1+E4)IC|muijoT*PeY%z zns26nv8%W{e^gaKddD27geC1kD4G$PUr>0}1e+;LObZEl&vBzuDeAX;+;?QH+4rUj zSv842?GT*B!?J6mc?bfMCzRXo` z2ah{|^S%-xez%aP`2TNV$tDNBM$x2AROLNw`JzdYI|ux`z|mL+LixPHc^c5)rC%)T zs2-9bg#i=t-F7;&^!4SXWnrO>;8VVs>0}llRD*Z&kN@p04G1U<^Fqoh<$){m_{l^; zQGr1Px$1Ly9d*%+QEPtRo2wSE-`4hyNGmXh@6>=h9Qbq&ftwX<@~*4(1@5dCt`Yqx z)4OhK|J#Q9uU#J@n>DqvC=@Aul>I3y=u;k0m=ued&0mN<(5I{B(&-^EgVA|&z>ojI zIHbmAzBQ|xKRx5p5->x;J!+@puZtmsHf04g(|u%ZtP_g5vQpFTbmZEgPk zC_9*Y>q~a1fpuzPMoMN0Uu>2(;o^?a&^eWPn3cMG zm0=Cbb$UyD64^6o<1XfD>-Ck0-^(&BU}%`svLrbfJ>WCMG4b*7my=dXE0A=2joD^1 zg`t|f6izXt-5RT=JjQ%wTORw<;#(&ZLlVQVqZ4HKECkK2>vaCWdd_G3SRwNw`D!$l zbh{s5JF+du`3;ShN}8KBEtVku3N;=ht?t!ydUY4hj_^kn%R|#RFJngH(~^>s;xfpC z%$K9>)Nzbw8$ahM1LHrf*s{ZbPz9=LVy|Y1!d$fc>OpqM_6dry#)r+@QtGqIH9d2% z?=wJb(kR`0v-L@DbKAyj1SQ;^M%?{%U#MvB{^SHa2-Ns|z`Z8pCp4q?0tAFOCC5cO zTzgEkCesiT2UNguSKJhKd!;j5tR7_`$VK#}v0V~2Y{#b^mobN*ms4?Xly>GX+aWytJeva72jK1QygR{ZOfV$T>J7@?BEjUBJ+Dm?odoFC zngRuT@(Ra`%GK|vG4hLDOWL2YeUofeOY|C0t{Sk9>I!a^&~<%f+C_!qg`mRk(1dpj3(wGqw03L?fz5D1p*w4wRbw8p2HqX|0p63(a)*9Z?RLLCUi~geYXHi8 zqwaWpP!+dZ$4i3b0yT?(9mYmGdMc5Jb4cH_Ms35*y0f!CW;2yb+bf5y*GUT^b$qs~ zDdz<9yBAf$tmCGOHQuC&mRmEA-fka$pMy9j->~9=RHYY$(m)=8& zD4Gq89xO+pdoq#a(Qrc68>&@6(Kd5z;{bYf(<4(p8n3W1DEk9QF(k1U8!ucK zh$gpZaU+(Ce34S zqFr;fFB5WbS;f~eAEUDqr3Lu*8ud708{7^W7yA%Lw6#tiv4yV|bc1Z^MnY7Zxy_H9 z*n?JMlM9c~=UX!u2xDVoM$rtTnC%P)et=hy)07B5@C5A&E>yO}^{S^jpNyp`tG6~C zjQ?@AAyOava#iTNzTTVPeb!Scm6dG$z-e)&s@y5l|1f=~SU>J`rK`46?+<#V=Cp{r zD4-231BNe7lT1fZKK%a2tMq>3TqIl_XW8G*kch3Ie?zh%qI1FXT%^snr;_w7mBY)&9vs+2;hD!wyVxTW!PR8qcGj6Z5STnk=6&}8lF0t4 z!H`h(_Cc59=^Qy(hRMF~P)-9}(}1uAlSqE=(6`<1bzb5}N#=Gxbcd=~eqTTFa+jUY z%?$Xkkxq50wCes!Bp9^HSf5xlvCQ3UgIXv&ucvvxr|4_YYBKbQ6ScgwT*mOg%Fz%! zIa7jB{oNWH!Lg?~)Z#2nP)uq)4rAiuo2;8A6#71UQ9(9SV1oSOhixI}BnI`^43(b! z+lN{Lo4Ub)YOhj|8qo1%CEqB=8fgu8<%0tE!}I!Ke#p$Wn~O)B4?~C|LYZh(A3)AZ zR?vx4b5Ik>2yq{q)!Z37d`J+_ko#`-$1wzvvyfG$M2F|Z#3VD#4L>~^0aSuGf?>Au z!4)Mzn1-9zN~{If?4OcM^g=qC2=Pm|b3norbujSu%}DN4O#aBwtl(2LRGHgk4tzY4 zh1B(IPkjFVy%h_h9nq>PMe`!3KUXq?U?RwVPyDte=}i*krfcULd#C)$$y7uz7ZdFb z{8vnQFGU)p`mC=i;5#9esAD+fnG`4b*WhJd7|V2Y!)@#si;jGqHpdHLEd(V8*rT$M!$U9x%y-0AHA?iAZ z(ZI-PsiA(;72sU73c{~FG?}7IySD$HE`dWy2A>xnHY6V8{_~$52KbMJ$tbh%4r}%m z-?22pH25T3+{_|96U^~_E%94+_P^x--l$k<77Z^{WX{4XndDd9)i|R`WnpBd*#Dnc zs?i;&h(jLJ>H%*CgLPl4CXAW$7Ubu5XGyw69yA*P1e112Zs78hl6)K+e(GAj$pDlc zSV?b!pHqx)`GfnqnszW(JV;;Eu+h`r#ieLtLrq&zn=8N9lLl+enHhC@aeO*3G%_$c zNK$ec@rylV^aH=IuTN5S$fQ>(wR_IK(h&z>rO7ZeE4Hg0Z66p~6lg`-j+fYu1Nd+4 zPjjBIk=}380=rbe*aDnBvtR6L09>D4cuWH%1f{;&1E%3qSu>;bHISHG`Up5`elQyR z6%GXbLjjL<@EbtDvr2#Y8iD`dkp~ch{`=WqSf9?;lz`2HRs8W03D`5#H_7vTT^ literal 0 HcmV?d00001 diff --git a/vhdl_intro/vhdl/flipflop.vhd b/vhdl_intro/vhdl/flipflop.vhd new file mode 100644 index 0000000..b48e244 --- /dev/null +++ b/vhdl_intro/vhdl/flipflop.vhd @@ -0,0 +1,25 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity flipflop is + port ( + d : in std_logic; + e : in std_logic; + q : out std_logic; + q_n : out std_logic + ); +end entity; + +architecture rtl of flipflop is + signal state : std_logic; +begin + store: process(e) + begin + if rising_edge(e) then + state <= d; + end if; + end process; + + q <= state; + q_n <= not state; +end architecture; diff --git a/vhdl_intro/vhdl/flipflop_tb b/vhdl_intro/vhdl/flipflop_tb new file mode 100755 index 0000000000000000000000000000000000000000..f101e922697adf2e31433240c2649c94bf3744f7 GIT binary patch literal 1078296 zcmeF43qVwL_V_O-NoIkSMXn`1EX=j2v@o^Ca_U-GR8nLQF+?$6tb);l97BrRNK$FB zZI9WqmThZe+jOba#z#Ws7L^s1TT|IK6Kv9B6Yb&uobNez<~!%kD74wn|E`qW`~BSS zIp6broqN9DJ9C-m&ORqGA;GYJx*2B}nDUhnaq)$;>8X5X7%n5r*bjcE8~u%afOdy} zF1{*{MTvC5J|=U%Zg51oI=(KC{Wza}v@OIV%EkLho!3M;|k} zz3ijio@gWfTgTVsaWq`RANz=T@h9igl1X@ee_bz?mdAysC~VkATkax|LpwYBV~?JT zxx9GoQgak(w2$1n#);FXUUTY@6Q@raJbmiS(z3y2S*H#@b;t>GW}R@ds`tSlhGXj7 z3v!K|;Fd)M2A%qr`$l%zHWfy8`}Q1^@6TAO2kf{|ezB{!E5{I2@e+U+5Hr%qm^&2xUy>H!PD<2q9J@fciZv1Lt zUBhq7uQ-1FX;bc)w|U_W4fCh%SNxazZn|Xbciw5Q{j2JM=kM-!*V9W681RAbwyW>C zyWioL964a^9k=Zmcj1AT=3oBa=%!(={^Rc(o^ogDE&HE$)e)yYJ3CbT%+*_`W#*^! z{QK*-@qkw5IDVx-LmlX#hH(S1So|btmRKAcITrWE$$vqda+k&7XU5_Gj$9KIxu{-@*co8su{6=yy^ z5GQ{y4&M@|+>SW;C&%H}#o>RC({7)|DfihB$hzj-%&j&=c!^SE$BYijg>+qM!@7tm~QQpF})^gTF_Z-*Yzc133SmzaMkY0mQ#!K7{q$(}Vaj=Ck6|_jS(y@egF+MbPf})4{xN&uIM% zA#orpU?b@?Nl$j zeFntQ<5u}uSUdEHV`mcUZx}}9qTj)v?p)ucJRVXA8y4sP^JdC_7}$Y7pE7@j^Pf-H z7zKKI8(;3=WaI$rj7igJT`^(IxUmx^70xc4GIdT#;p}l^&z?SOX5qMr*Gw-oCQO(z zW7fy8+KmGN#;6 zFn!jXLO5PGdumCcQ983=){NrWg>&WrQqogyn52$2KWkR$%t?lwIvKLfE}S?C4#lM< z1*o}z%9uQPdg+`ZV@}EJg5v9q!m_C)#+>5WQ)iY;HYO{DaAjt}jACPQ$!sWfA`}i} zc0ti>Fn9vkG8@o@;-X2jAxGi#=}>v7cfqs?1x3>)OrAIu!SpgfC15lx&!!n*$Mos5 z3XF-@%$f~_l}wsC)0k3NQap7MYMxYDjE9n0lZ+WNCPJ}=v%nI#UZ8%@F$zc;@=S+D zE1jt>mCPufJQZ4g&eSP|Gm1;DpHo<3%qX0JhY1s)S!d3g07XtLnL2AGa;y&)IdMwi zoT)by8WZOfluVsbXq3zmJf z#FAN4@z-?Y8fe&(*%J#24Xn*{W%Fe2ElkXT5(~;EPMAD(=EUh(F=&9f*OWp77R)S} zj)u>eHCIuDP#4?^jT9TOr1G-R+uRBF#DW>i8B?QQ7~x&wHjg`JmLIvCr&RV{Y4XJ z!fcpu&Gn#gQem0OVNak51=pxFSX43_+5|P>Sfj~bCd5)4&H%P69do%I zQ7Bv%)Ep)PBCH}bm^#M-)Z9=7&}6|=GFxStU5G7>woaZtwRkej@ChZ?7^5z@+!&ud z=Bx=PopACAnZ~(rdiI2q;e2TL{G=h_)5zH=Ck#O+1nvscnyEhZ{rV2;Z`2*>7>Iy|EI@+<4-o++I5t-ub1|nx~~D& z=Y+3!H(uuJa4;6%c@IQ1`xsZkb(A@H>QvZxOERW#y5umF?{55t)1PMbHCvEuhX_pli-t?2L&%?-XXZH*Z6?;A8q@~ zIDfL>H!;r;+`~Lea9giS@CBUT6ugRgj^GQK=LufJJYVp|%!>rCWnL`!{mjb*_c8Yf zzLa^D;D2CVBlzRYYXyIjxlizV=5>Pqm3h73%b7O_{w#C9;47Fn3GQd!EciO+ErK^O z4+y@2c~J0X=Iw%SWZofo3v=Vc=<&IUd9vUE=Ba{z!aPmz&zPqR-pV{f@GZ0)kn*~3cd5hrZG7kuTKJ%d9 z7cy@b{1WCJf?vkm2t<$nE0`w>K7o0v;04Un1fRk@UGQnlGX$T-JX7#F%(Dc)j=4+l z8=0Ge&tsk=cm?x3!Ea-pFSwU^k>HD%7Ylwj^D@EjW$qFDcg(84LX1&k%eI^Gw0NW}YSZR^~3jzhiC+zJqy= z;N9M2|1WqC=J|r}$Gk}J6z0W(AH=*&@I#q<1pgKDD#80RuMzxc=Cy(!$J{6QAm(*~ zpUAvk@KcyK2!0xKzu?1}Hwk_w^Jc-%X5J$Bxy%ECpU*rf_=U{d1;2!Ohv1hnH$ILY z|5q?i7JLHpRKW|FrwKlVdAi`!m}dw+i+QHtbC_odejRg{;5RZi1@|z|5xkOlp5V7L z&lh|V^CH0)GcOkWx6I1~e~`IH@JEIq*uM+%B<~4$!&Ae9dbD8@DKc9J>;1@El7yJ_D4T4|B+%NbQ z%$o$Cz`R-T0_H7(PhlPqd>Zqh;Io*w3qFT=hv3&SH#SF){~MVn3qFr|s^AsO(*(bb zdAi_U<{5%7VxB4Z-ORHDzn8g7@ZT{v1%HTnj^K|l&lCI)%<~2R6Z0a$pJHAt_+Ocq z3H~?c9>JeyUM2Vo%xeT+%e+?bM&>@jUtwM+_-oAT1%H!ygW&Hl_Y3|$^CrPRWZo?J zC(K&}|BQJ+@K)wQ!M8AP7yN7H9fEIVZhRU&{=Z|MEcg!Qse*TVi~M|=;60e93%(!o z48c>FX9|80^DMy+W$qIESIkYp`!mlG{AlKRf*;2`U+_W9iv&NBd9mQ9FfSAQH0B<` zhcmAd{7mLGf}hR2R`7F~`vgCqd7a=FGOri>66OtpU&h=o_!Z2X1fRgXS?~hpErL&B z9uRyQ^Pu3fn70c)hk1wK*D*K#89n}QWS%VeJm#r_S1?Z#{5Iz4f_s@~2)>AUrr>uo z&l3Dz=BD62=6QmgzF@W(lSk>K^riv@3BUM9God6nQz%xeX=?Whwx!15Ip&9@`q*|o+EfVr}G5&v;HE%Q(1pi z99|=MKBsF1Z)W{?8{!GCQ zPGPJbTVkNK=WRq%B7!|8(CdNTztW<6PgdziZfuVG#! zxQ}_6;C6W)!RtAHmEaA`YXom%UMqMD^E$!XnKuY-+tDO=@;l^LTLkxW`x~v%?H{n8 z#|v(^f2!bizo!ZA;qj0zxSx53;0BNLOu=2uvjq1rcL|=(^)dx_G0zd)9*22?dpLi- z;C|*sf*ahv#e(NEFB9Cy+#|T3xliyi?#DX8Z(?3AxQBUz;0u`h1+QY>B=|z+&4Sl3 zZxMVk^MK&B%#->1W%lPfe9Y4%XZZ}l>p6d>;0C8%g8R18_%0H>iS-l>Ym}d%Z>&X(lnDe^?xAU8Vr*nOB1kY!lC%A`szTkf5MS=&I7YlB1 zx=iqNPS*);yi0z#Uhwn|YWD`gb6O~Wli=yBr&;iP*3%+6>j?^;$$HuaH}<1X(su~% z=XAyw(c{EqJ(+^%vz{!$Z9PST=dqq*!TqeKOmeoTPVgeuQ!jXWFRE9A;I=(M!OQIS zk(}GJLvWwnK3k&O$7i>Xvsh2F;LWV3MR1p$ZjWwfll7zu9$-Cbg6Fdym*93k znu6QoBuDT7_j{h;={!F21M_J2%gWpR&XD4 zpWrS|HwhkOdjf*{*q(O5ZM!=JuVFpL*U|lA^VB#zJq~vX9^iVJf~S8+<>d&T&pc0X zAM+}~&-jS?(I>d)8`57dxXJmO1kYg}5Zu<&E_fd2Pv&y$bwob%bir*snSvK_ewX0s z{Js!Va1Yy&Behk2^tHO$im_cPBB+!#RmU4qwT6E_9-G0zeF)Bt?}Hc#-|Hxn-w z{NR5P_Xu88MCDcqp3l5S@M8k>1>Rc0A7fr8c;62xzhCg+G%B}A@Bs5>!9Pf(?~Aqw zK4~A~LBZ1!h#TKV_iu0xm76ShfO)Fm<8nz)n&5#eiKh!5yoPv&;HN%CJX3Jq>b%2<~H^BlsQ5NKc;N{hlYDFL(~~BEdg@pYj(A?)iYYNARWvJbncCGp`Z+J~w%; zTES1hkho9q2btFi-YdYzbYpEErNSWi3bGVR8Bl7_|0z- zZx{UH7UBl)CvnO!9^vsRcpdXp!JlECCioiW>4G;i&k+25=9z*AnP&<9Z{{w+yD#N& zEBHaoiv&;Q{UVRx9^S{U5!~Q?#ahAh`T34da^CN?_wDRi`T=og4^qnI>CJ$SNkRBaoa3-J?C!`+>XP8g0JBG9fIfE zfE;a6hj%ngqAw%%I?hb3EB0xLs}r$ES9^ z`g8s)!R`D-f*;BG%LKRc*9m?!=Wh_)&L0#!gYz34zuR{DxZhI+cX8aFCb%6}X9%8U z*H>`c9#e2X@6+ZBo-vN@3q^vbGcOjr@6i-DmI?mE0OB6Ohu{mm;HOIPW78>rjo@~E zpWs1`2kHb5Fs~Q9<~?fh2Ep?_BJLO5F1INTZ;r#wMB4wh``1nfyG3(f4{GO*I6S#~ z?EH0-_aQwEg4@rL{es)iotp%|&a1k`$V-YYw}#g>wSw33c&LlRGxmwr(@{cp<_PZL z_%ly%AM+x?>zQ{5?z^4zr}v01*M2WdhT!)5aWVzB-$Rroxc&Ykm*Dn$YKjE6-=kD4 zxcwdjTEXr2I{5^*-@8;Nxc%Oudcp1Y7BxuD?_=@{ZoluT zNpSo9KFxyL?;C0n+S|FwYYF5auqyk6>;Jel+tO!H;8}C-@1>^93Kmyh!lj%!>tgF)tI` z&DzmR#2;FmD36+Dl*Pw;%^b%IZ2UN3kt^9I37nEM64fq9eQH#2V*{5Iw- zf-hno5PUK7py0n{ZtNR9o*!YJEcoNhQw4vDd79u2%+m#5#XLjswahaG-@rUe@Hd&e z1b>&gDfoxXa|91D&lCJh=J|qeV_qcqes|J*EEc>k^D@CRn0o{t!n{iGQOs)u&t_gL z_|?pPg3n@JCwMt?zu>nsZxVbF^Jc;A@zx@^-7f*bm$06o;P)|a7yJ?C9fCj3+~^rS zo^3yzEVw;SQw6u@X`0~nJWUteo~Idt+w(M2aC@F+3H}$h-zE4O=BD7UG0zeF1Lk>x zw=&Nc{0HVmf*)`fjc1SGc7Ig~ZueJ>;C6r23f_U!w(v@_L_p~#^F+=0@q=qhLYFvMRWtPSd()74AZofkcFPj>lrsdDk_+*XeY5Z3j z&)4`&jTdRWK;y+4PuF;v#__%4_K!#7N81pNRT`hA@fwXEtMOWm-4tvVZC|e!30e*r4$#8ux2_w8on>e!j+=HGZMSTQq*L#seD9)p(jg{shMwzY!!n<20j)=V_d3LOfsN z_Pa0fN|DC#{blw~vBvfHhn8u)kDVEg9*rNO@hXiUs_`0)r)j)adUE>)V@6h-_ zjT;G(aeKVRlQlj_P3evlcVvWnw~t3pQ`bEjpI9&?VlozXW0;r#Tp-`@iL7M*SJUHBQ##6@sS#@(fAn} zuhsaO8uw}3rSUqAkJ5O(#?R7tgT~L+xL@OLjW=oh9E~??{9KKbo1+4fJG#xJoU9Md&EPUCw|_qM>^7TDVY zds|>{3+!!yy)E#+(gI(n9I@T3XiGM|Np~*V-!LovC5bzl&5Cu&YgH5M82T~b9RoJQ z@09*7IKy-iUJC_wz|Vm9FpXP4p=OnS1Jk(V4*6C3WlVR&biGQi!89(tLq3&$4%4{g z4%Mji)0oDkcF3dBk7F9c!BDYEKa6Qya)xRegnsPxmA#;vQ6N2MRfG%l$_#VY+Urg149%2(<8FpW#-P>xF9g=t(m zhg>RsJEn2T9LiMbTQH4FB`^nsYht+|j(rF&u;m$;!!l}^MoE^R~UD!siL z(zv7zrKxw!!#~gL(MAv)$RKmlWTSiu#ek0 zZ66c8biF4Z59DKCJ|3lxpiljve>&-3W31P&Hm#4%ip}jgr!_wXt{@ z`Rjh&j2(T}Ksn#kK=Sn^;K!p$+D?UQ=-T$(5lHFpLB(tN2>E}n@(;Za z4+DQRt#)(u7iXHQJGz+(>&@4HEIAkyJjx1^cLXM<^dB9ONBcY@OF`BsJtfzy7T+m@! zW{Mi)A6gV<`by3fd)u&;)mvv_~w1-xejYjYE@OJDRr zlN}4^!5d!%dPDDSD5R?U;6|lDoi{?&Nm*m?ZSYoX1UHU12fEJPVWmcz5Yt}Q!PN)9 z$aZvCIo;{TJssjJtpH6=Y7P^k6ta0XW|%l%EGyB#r9 zbGZAP**zNYR@gd}@}vSyS>7v=^iZmMV%F-E#r}%+gav+E^COiikDM zU`-Q~()*xr43yKpKvXZfJTmsBO4AIC}tPDmjFXb9*#kJGWj+ zb-9qtHK`OZuq|bI_rxmqKLJ2N5%5{ca`!(^cYjuLD4*Dh_9sP9gSLcLuT}$lxb5wQ z|I=QmXv>72Xn~;w!$=!SZ7*O96P#V12-9>8T!Jh)$&|&!qZ;_nVJ6dh75&WC7B$)I z2?1oNE}Tj-_N0f>@U)}R9Rw9H8iT{#ZFAC1Z*B*i<0yj}V6BF9JEW_6C04kD39T(* zH{uFgZRNs9)GXf&DIhc}-J45#ufiz@PNODwKO;Et6T_gY-3xz{u#;8m=cw9vU0ADH zkW8^_33hNT160evaQEgp8C0tPO?|8|oOVw<5^EcTgsN>Ip|$xxsqMq#ptf^9wrl&x z8#`Uw6W);>Ib9e2nQFW5&sy7OE`i!seq`77`5QW2TNm5}q0_Wsd$TUw)!Gh@)3;6b zaMnBcmH(uJS6>WOz6-_`4dc;jUIW&I~^3XC2dl9H{MIKCo;18T@V1PWr3qZ^|+KxGvn)+Qu2vCv~=i zt=tXd7S#}gTe%|%}K2Fo1)SBf{4A#k+Zo5OdS%?Jm8DBWo={KA{eX61G_C#W0E zyI7Vx(CFSwej8*0b7peJ_1zPV3isxO*3Y1vtu1;!Rp4M%V36-={dZZCGN`vhdDuDR zz`d>s?$CZKcLh|{Z+cZj0#ZjvM;dZPtcHXf*hV0b8af2-hSZSvl!hA;?o)O{LSA*t zswiJ!+2HfUe2dCKy!A95eGLf@_U8CtfjI%fx# zX}tkA*i^gNwmA^^t1j1z+#GIimmORD90T`v;emT>Nw~e^4ctC3pq|4Kx3#nlI%!}t z#OtjPWy2`5v6UO7fi=4ak7#|6w#_*Ksuq7>v*?>F+WInAdhe2mx@qr{2z-}X*!}M= zOshw(FNW=f#?c9e*cnhO{_uuDj2(gQ;A}X?=pJ)Np!+YmBLIc9gF)cj@&15C@98@N zK`=LHR`_B2h_-~hIoPDIC(|qCDY(VEgZ6ICt{cCn+Ia{qgozD;FsPvs;%wPWvomm9 z(N4v-t76w!?zV93fU8vJCJwAuwM`tgdX2e>11s3*O`M9hGPu`Nt#{vz)vU5_VlmK8Hc(Zb@10N?zbE-4D;bhUH$|+EblkS1iaf3@Ck#!W5v6DfC7Jl1;^>}ujFx+mTwAh%7W;EkPG_|S{=eLDF1$S;_6lDPg#%xd0?05Zp-~l zMfo$yb9=8kmsCFm`?Wzo#I9cVGnTtS(-ZW%@3-6-`~*>(A5S8+Sux1%x7XSvtGZ@V@@RhxB@+HjF0u*k8h$aNOZ6s}DZ)+S{+sNDb&rDo%PD9{fDavQAX z)q!paYvA^r05|FeFPL22=jD0uBuoVxcZ0S7%)4Rk`%8|%bGCTj3itg9q3y6ASuq1n zzJ!w+)gG|D2T#_@!drav+p4f(tnyk2{%TAsx5^s3V6^4-jJB$8f<4tqrnmb5eAEFg z9X!eJ&0XNFM2^+E3zv{L31)w`0|LscIw)+q1RjlMxT?xObXApp0A2VY zEHf&~H{)=*gIa6TdC*$_YR1;w44njdJ^*(D3mq*_1Lk=N^W+A@L#hosF$gBWkb0-w z9#Wrp%0KBA(S9>ZE&@r=`w8x?!tlum51)#*EU@i0ua102yzlN0$Laia7 z1%abLBh8QZJ>~CXWw@xnVD2@L8w`1$E0d*FCQd`wQf20#^a53uv!OZ9g_tKF^TcWB zSK)C3*=9F1OZGv@W68EIH1q>x+dC#m2jM}5*X?X*&huByvkvp*#%}17*|w;LX5qOg ze48pD($LQWjBaSoZDQ`rA$Qjr`eCX}Pn7oadkCLY}REkKfQQ-Y6TIC7(da zl^_YWb)lirZ-8%_iPH11+!zhbdCtQ;S18+JH}pWZEvlhecz+Z=LKP5c=p2C24b8bf zJO^^OZ`gT5Lq)-D*fZzPRFOwfaydxqGbad;%K6Mw{u$03&V3!`uA*{26K=qq-{W>$g)qJ9(5Sw@0|_OFK@@s;3=!02bpLdQ zw-!Ac-f%ceFB4URXFcR=rI%EJQI%Ox5Zpv`IrS{9_o`*o62Egp-JW;_yBF?fDl)9Z z4{m@29>UgPeZt|BvJNkkb$G_sg|jK^C<|KWN9)SIQhF&5T4#cwH+LhH6_#V`mXmcq zj0WotAnWjU1~E@1KJ5evJcO-twjEw3>+mdQ+ff#@E`Zj(_aztuJ6V+-P}TV>@%N5- zhB0@4uOg7+GaQ&IA#cFXrh>hE1O77J4Y)E7G~%1%qI zsC){loiKF<&t{;~dOdN3Xw3#u0j!7)hdV&tmHQj3@Do2UW3Pns;G%W#>41TWs*mkf z%m-UQ0UjXYtNaEmu#*%;cHpSSrlD3ZqWpO98r~(Cf8ZLc*{U>usje9mzt<%cn$E=8it7pQh0oCU*UOA|IBidvNi!*6In zHR@FY=CcYjE7Rag#Y+5*H&B*;5apjz@_5Cn?1%D^iBN_~ZzUe0jZQ~WiNCGNW5VaQ z(JDV0jh7ro55z)GSB2trt1<(AgVCnagNd-wzlKD`O8l)E9U2Al+Z&(UXxs=O@Bs#^FdVP;HvRq6Hsg5(2NLKgk@t0)|%P5<0xbV3E)Q zCWnP-T?r~98lgn>RP>|gQJ1^J5lJTgBm8-UJ<3J@t5uDu&ba9HG|D^9gbv>q5@uv% zy0CY4gNwe9tRueyZM+i~4I>ae%y_hJ8hoAuth1d(4q1nXpVdYG<#U*JJ9W{c)x1LA zy+^y~w=Rvi=y%~f*tY7w%|*kS28YM+kuW^6*LK}Sv;21>K)#ofPlMsKn_V;)dMg%s z?;13^Yc87Q&q4XiP`(o``tn)3-$n0tMHgN4?GS+J4p_P97sp3y>7kU4a6p<0iHeo@8v|07&qMjCN*=Fp6ds9HFdT+T z;~~1z=+M0?GPk2vB(~8iKN^iuuEXe0PK83hfk2W%T}uVy;V2p-^RUtA!YfwdZ_VgO zQ2rT|UxJH}9+ zfU8kaxB+=Y6pnp~Q8*qGLQU}5_pU|Z8M(G|CKnnNg)1bY@ITZ;??*2o8-pK@i`IfJ z-WHCE!U@_@_!UZ53>SaX>wBh)pAt}Gl03oAUHs=XOJ)y&96W2ZyWLWWqDvC<$ka<>Ci{bt(#PhoKtN#jjEm z0Wa^-E`HJZ5f^_qoChcW^}o%RE}rE}QT|pX{|mTyF4Vw6d#gg# zMn|VzJj?qtLH>0JK0D*$-zOPl3*{eC z@)(8h=8YCE^l&Wn1XU;o-kskIXZe2|5At6?@Y$J-mYl11|3=HhV{GS&%T4|O@y_Wbl_zkPAfbkQGe?6iwMfJS*+26Xrj@LYBmQFsfs ze$+Nl*Io44WF4uBj>0wd@X)RID*VV5tfVhM>n4zOyW2%S`sS`&be5V|d%TMt2dIJ{f{SzA9H5bkDr=$G& zDBp>_@F(+jzl+{+b{Adr%@4(J(a#DkopjMBD_t>M^d)}HMejj-;p>`}i>3{Moo|#J zNuzws(a_=J|F}yR9kuJK9v{ba(cx^d_QH=P>nKmOiw?{2UieXD-JgyE>sHh$>vra% z!#g117(XW*(_>GeFr$=1$%WxhX`S5?+M#&%=9t+c9cs%eJ zdb_Ueg|mD%%3r1AQ&m8V`^Z|Xf}7gr&$buNg}&1t3JpRa+10&pmcJL}>rlQEd*MAV ziMvt4>P%F3xiTllUid9=b$4%+^gF|L@hVWGukqPYxE;IcpGf)LZ*atOg&_*VGTJdlx*^ zFR&NhAFSK?Uii~$BJA-lzT41Ws*AN3{t#T<-7bC@JXxm? z9d^>iH^A2*;LaQE;=evET1zKg{B)%&hKsL$Msx9d&|dhD>y#7i%wD*gW=X}N(8G)2 z8x30Ug7G;tAs)gX>(kqlcAH~H@!@Q-qWFBWj`BoD@nJcR;?E)LK0O4i`xZRZE?j(g zlY8e;d^lUIz3??_ceT;-nwkh5d-`6u>%@qQ9}VZh>+bn)+i1CvhR6DYVR*cAAG#;a zMR#H^oaJvt`FoZ8FR;Wbl_KmYTd>7w6S*=ZMjDUI?#Z|LwZ@BKww^l4;W zXI%7UWZhY4-6dq*&Nf=YzV|D;NE1HLvz~7rk;|#6_=$^Wess|27w$Ps8Kr z6c`>umUP`kv-~Fqfc!U$QT`Wj(Ol?EEOeeKv}-P!i^{>0= zqGwmdaM4c+EuD1H$0}VhT=co{dQ^UI&ipnPju&gC@;v{?p9^nzR=McT?1j&vQ9iO4 zbod2#?b1bSo7Mcu>zGk=I9seJx-VHrd7`7}upCFxC1l-0`+;@!cPi_4=AwU&z3`hF zcC}Grsd=@>Z0q4P!y#H+*CFL|cJp01%xN{M@*skq`v%CxCFH-Va6dw8X z?9aOw&V{~`425ojK(ecQ;Vkb(`TJ466MNxTkBGZb!sx}EQZKc*(a9`E9>J0jxZZ-n#U z1(pA8F8&T09uIVb;qhmy>n@(Ui{Ie+E2^W9k zsc~IAtGgW4U8~HA;o|RxtGnC94?Z&5Iq%ZNKXFqG7yns$w3be~_6q#&di_f|_TT_(>nRc6-#jM@EBuqxwcGbO}tMEJzQpL|OvxEX(x}fv-#^RH$nS zt?x(f9r*S(cNM-cWCWUl=k&Ia{;I*;yo!LgL%>_b@XLOfjlSV%!kJfn%H68WR_FMe zU*{4I3gO)n@Q%D_$#E(-{9m=_B4Xc3$T`XO8QFp z4c`$|{a%TQ@OK3BAyKgsf8%!qS$-bM*C=_sVpUFp-(K|_zSQ?DT&0V@znb(9+2Xy{ZIt#hB0^E(uTQ z@cXIpZ|>}U124R((}ad-R&QS29e?BXr#C9atfmG1YJa}5maCZXBdG(jQ!T{ zHMJO0;C+JD2wG9P5yCfinDS8Y)A?IK(lH zQ~8x~0W@yFVvZ=%L16w${B180@Emfu%kkcb2^mqWN`4!gnJ`fc1d6spJ=-%yB; zw;I)xH58#|Y{L~*Zz!Y)It?<>yH)TE->nj9f-U5K%pJ;dsNJiFT9Jy{QFRMyz&)v$0i72>E#<1ziM+yK4hlXWn#=f zqFc%25#6racSK^zfuV^;bl8Zv{L{jTXd%a_uHAHE_-~3V_wM4R4L%guS zkRO{R1Rt-_b=ANf1r|lNE|=1Uzb&==@~YpKS|WuPDJJPkXdN4ATYHUUE0U`zT8vm~ zl@wNlOQw|N)i|l>#Ut23YNgT-PGF_-Bpwq&Q)#85*2bM%tUQ%!$2Q5JK`d4j5{s1+ zrbDyPGlj@vWvbAEv&0sTTC5O+si_t#A5TMFF=Cr;)#2D?4{|Tcq; z0_TUBq9PmQDtN$?NbrCu4j#fHDzZ`eBauzetEt7vrU(QnvI)!a?k7C!L)YVJg|U9; zZ=zgJF$l#n4!JT8Pa?))DyDHNet+`E_6 z?G7WGEKt#?Ud#o3!qa%TqAIdc`P5~KY%s`(ifrsi#f~gsTa^NujOc)-3T{iVQ#y!V z(XI9Yz3R86K0r<9c0g050vZ>T6$xmLr(W0|f?n9K7){0N6f3~IQ{AUR1{KgGl#DUM z(U-mdY8P&TVBEb|?-HtW4rGElC$^reDdO!|ThTbB2!GpKv3ctJO85;nks?fhqHfRt z&^E9anh5|S3_K=;@WC>KLbUJJsqN6Q$+inf!*b~BT(EbkUNEeXh%h!3C>LN~g2sgr z)I(@N7ZA>YGY0>NOL7?65r#+Uis1r&zrc0@@DBK9n&4T=zGYa^_!As^4vN7{Q4<{b z&Q}Lv5jDY8{>TJhe7B|+CwMXFqX{0C;|YEW4XuYhgP~PFBWi+|#W4=KG7e876C6`K z!NY|p<5YfSTmY9Y<%@ZO<4~Nx5`Wth9M2)g?P`c)LQe2bEnWEO2FAjTYNF8W#wjyg zJ#Vm|ZXltljc0M5Zs6k(HGgSpzk|ISV|HOSdV-tpDJM~eQJ@3Ws8_5@GE{@?X|cG8t< zf~(vx_i2JZB(!w$KAWa=#hBp3%C!l;2i#|$hMfiQS#-O@3666z_I>s|8d|3XU}%|> zqb7J6wy>>5H63jcBL5HWvx^|gR}UTWMjG=zd(>1M;(PD2^jG*|-Dlzbi0GE*e*nF9 z*Q8(mK6~{K30=F-{v%IK!z?wV>}i-Lv~==5d#BPBV;Vkvy*3R47!ds*f1Ky|+tmz8 zSGA6whs0D%sIB_TsYjpM1U>4%Ce}Q}Y4@`}{aRKOe#d@-Jm^Kl-QuSfaS5R>YGbNJ&tC%?bjp8i*F zvnO;07%A?n3W>nESFW1S_9eQno-4HAgbwG>180ITBh(JLe;n$HF`+*y-NPpIn-n>B zWSBF9Zwhr^|97DKGOz0Tgl72< z-v;@1h#O;0=!u|xH+`IE#lO2ap@&}-V?xgrS~@wQx8%f`(5bWS2_62ssnLJ@a3(c- z-CNLX&t3t|<_?DcZ0IS_mf${9oqyi&C#nKb3 zqq{!Xf>5p@?yCApHOTkmRrQdVyVu?&na~FG0p1aC*0mk%xzrU|Z$ej$xg4jd3)@z0 zTF2VK)}L|Lz&%TSf;eJ;sSLp1F+M?TZ$9V1Wwncaz8T(4vA5pz+Kf}m@b^#H$ySTM zgwTdA?_^heX*-TIC|N`T3W-P{ajbG2_9co0MhY$HIKnyfNPwUn2`tZ!<2c@#VLJ}k z$=(yD`-tCkdb-b}F}AT8#@MHq{vy+T&`rCV?yJ;b|6iN#71R}DUW2X}4-xF%>Av`1 zU7YR*jfpYcFA!QfIo%u0IMY2i)t>J7>>B^paY9MY_3m%s52d=lZB1V9-r5b$wnjg} z-V;{*SvM%RkdCfXZLQ;dn4$n;krn?vG!|EGfU)@M#ZhjdOo{*epZC8HHb{29K=?y= zQtVwI?0kW6A9df4FGKgGKKc5@xT0O7bodo6Z!{NoC$rzHTHy#v*P~~HT&`xq1hVGhi21O{1-u6?zt=eVc@Gex#E9{ zD*5_4sN~1zMY#qH5O&9k|Ie^M+4+k9c{RxY*OmZ(rLH(-rISm5btB?9j!&<$9mgKB;y-UFZ08e<>(VT^r! z&Mz|EhfUwrbl;!``~TW>_fuC~_5yT85k# zU$5t(`woZLxvL8Vmf!Fk$o~W4<(LbEnV@|)EfD_ru08QPx#G_lswRH)ioZZ;>Er@o z(~vk5|A$wzq~uvui8Wbkwz8-5kjy+_>pLbEGr~5Vm^v;eQBf6z5_QM50TdLe&c*?&p@SD@{vaAY! za>{Z8zROvL*%DQ@FCg0&;zNagDb%t$ev_Ch~w>^Xx73DC%=JvteMcfBS5cF$^_W}e!tq?RB{BKvwizkxSJ9} znGh^j%z%^Q;H0Jj)p0MFS6sC=*R(#xUf465W-v8P>Yt!#j)cg!b4{}^G>6?ZKRo^O zHVwYn3f}3EeBB`YB#hP{4N#C>=o8U}CWRZB8yMO*Gi72au>}PgvkDNeaFU{RAC;$>UHH7^98uX6!SZR@HsphJ5S0ry3RR<^&?^ z9OP*S@j1vH4sw%&TSzWG{a}M9Z_mL7pShEn;(>gWTgF z3mjy&gX9y@O22@J)`lY-d5$NdwP6|&&BA?%bdT8l&AY1ZNfGifk$obhnMjWaSw%#% z@ChQCh4(q~R1wiEoJ&Nra1s&Co{JsC>#U%K+D@wPY}^8yw8!R%0cEj z$RvlJiye7f4swD+s-J`ObdZj>RNXb3|LGtb9b}Dz)H}$74ziGl*2^~#(b}-cA$2Jc zm^*emk9J6%>>%k5JqI}Se8185Ew<**iD(wKIP$C~qP5sFjyw-LNR5Ns?9emak!QSv zm_#%SPj%!uhKOe2fsQiDsG(9gn@-#TeV-Bgs4swfw6g$Y(4wCI4r#nc7 zgB;=@-HB*z_|+S-?g1iN-Crf5jl&fVsmC3p)}iNChn^CL)HOsj3v(QK&LE;$_-jX= zz7CS?Am6?&J-}un+N^v-BjCedc~JTA_C|LL`EYo-X`cf~GuYe$J{-ySB&Cth9i)W_ zw5lz&-jU}S2YJ{*Y8-lQcI27vAmfQZP3_W62RW69X5lf8JO?_6;UHf$t9I7(Y$BqS z{xT7*#Tp!W9wP$%Zri+=h^F}#hg7kHTiChHz>kXIdK zg@ZiqAhiy1D-o>?ONeOge2s(TIP{$1$n$Fl>FXfL4n5z#YWo(uzcv%mEPTU}$L}D2 zCZhH7{f<1hJ4l&>Om^rQ=g4yw5zWF(N1h{yXydRK5zWHwf0uO+I>_5ZG(GExXnLM@ zNckLj?r@Ns9OPOD$#al%9At=t9OWRX4)Ws$Rd=lo+lXl6@I41@aKQsN-jI7p6zoZ%q9c96af zlI$SgzG9Ct+vd#<@`i)>9pujra=(Mz?jU6jGMR|hhT|N0&LRS1-nKcD2=u#6j&Mlz za**vWtGa7?fW|M;@O;&mBaxvTkxnUF#ru4n5~M^bB!G9YsX5 zFx8Rg$CqTkw>ijr4$|Zx%N=B?gWTmH9wM5BGaY%Zbdd8LB+EgLb&!J{B+)_In`CQz zKt!`}gCoy#M6^DwBchF;dmK^=9AvgbPrgIX1rDhZL^KPJcjQTP=-G#eX7e|VvhE){ zNHY`dY*7d-A6>Tu*#8Vu7gZ+kc%C}Bc;QzWKBTb! zj6;s)URY7Sut&;mGrHrGiiO&9l7sJyJSRzpbM@ScCBfQMu(rldR`|z3vKDNpU2i19 zp$4909S;vh_F3<)OlUoXNGe{3$BS8OQkKBu$4XCmrBQ;f3$4LQe7^y!&40_wl@9uR zcCx|`PrLA?qV76U?~ACvmDB@4^>q>Tw|dHNCG|d3{{X0e?htH)>Y?w}_B38FKKgf3 z@DD5C(JvL+=*q!v3*C&5vZCy$x07T?1C-OS-oTe5*GKHQjqCt|b~HrnxXn|38`)8h zcJx6z4kJ4*LOVS7)EdG5QFg!+dL#5LKBtee!*3_ajwUFliQ2;-v7?IY0D^WjMeL~Z zlvj}*ezc?dm9Tmc&Qi~M=|R>ZFy)SWyqB>G&3FO+8NvHf!00333>xqLd(r6j?TNu_ zpVu1?n#~BQH*toI#xc?yF&f863mDZxjn^D8+9IQYpwTT6qb*OlMMgKH(Je279qDAp z!C^Z-eonUo2C@-)7P^uPj#0bI{}@%f6$gYf!@$Oo7l;^*BM;`hJ4i+cB1Yd%Mgu{k z;n}i1uy6O2-%dsc(CDMl=mBK(gnR5B9~@;)9&HDE2b^H-5j(tO2N1NQ zBVvcwQ|=`@+R={Ry%esdY7_hrK%~cW>!RAEZhtTuKIVWu{`eAmx|Bh?vPm#q_JPZ- z7YE;hhuIMbUe{KIV+ZBvO*u@=@et$)&4f$r zvf$Y(+yvBsp)OI|HDmvPHv=_Huu^~9rd%I1G^7)Tq-G;u8Z3vl8coaJlN07Qxc2wnzV;MRsr>|~u3!YR~W6liBQk9d-Iq9fo zk;*xqbHZ@Jt`CO;kFAV^19t_&;Xp+j_>6MZ3hEwHJ+%Bu?C-|lqQ|I6H8ZM*KE}8# zf-|8iuQ8q&!7jiZjL!qiqe}}s^nr$!dvjsXU7V4!{6N!MZ@m-rZQ8K|?qvl~MY!O~ z;jV9iPs?1+eTF=ZCtwv0PHlc}i8nv#gE@EuRP*z@7Y(B(#@L6@LMw5+T_Uu79ya<< zEpB1l;#^vBS44_) zJstGY*c^4)s7puXjvA*v0%n!3uu2;$${UR9kAm%*q8f-3tNYxE2Wz!yy=hv_ru7<3 zqu{)aiQxa6FvRk@SE$chHCXO-UiZ3+Kte@FLg{(lT=)!Dll3+j=!ZKpezIiS>9v-x_~Ml==-y{Y0gHL$vyo1^B`9?CJr}EW#oNZ^VQ3QMT2ZZ3VKe&m%=V17B1FOU;UP z8CQ(Da@19$t{ydk)-Zb8fIBa*vr3m%lrN>mIUf{4R>Q8 zdEHAb_X=n$ZXXl;5{7(!?x9;TYO&n@s@&&2<=Y`a6X&SA>*Sgo_|4K#g));cRH z%2yaA*{r;Yl@BE4O{_d+K?$`@ttwz}10G_wO)V6ljI3Cfr8Q0seq0ScO_i>^yH(n5 z<+gcq+f46Rob)ic)Qe8P><=GwlcQ{YTN*~f7EIceel;nV(P3p1O%u~v=2HWEWt}=K zsa1!jY;5G0O{>yFxC#!Vt?I>?^14&uLK&Ruf8?X-BtHZ)n9rMOpP1d@3>A-t_Z88Z zx2*fHFNb}3(@|!@>t?}vbKn{r8x{TpP#Hj*8Y_cv!28{>FGmk+g#R(`J7Ws`QF)sw zZwu#zY~D(=4n99%GWe9Pk=6c*RPric#8o z6@0cD2HD8|kUzL-IQE6ArFz*?V=(`fMB|0iAxrR%SEIz>lh7y@TnJ*1M#SLLmM|Ot z`LZpQ7cB)}PC==sQEHYhg@3H29;9G4{T?pDY8O5Cc>~DnO}ZOu=8gREH%h zB@8(f3<)KWieeH{PP;nkQA(we3I)AOR7$AW*aRw8sh&w1LPF_e#kG{mAQcLFm#CCb zaVMx~y&3G@2Ky~0{Fx+@KZ@kDNM1qj5|tA27oq$aAnzx67s-D`DU;+C^e#~;A>SM1 zYe0Mf3FMIYUn!MG;tG0~sFVpke>8Mg%jh_cW zCV1KgHHaRA#jn>Grl#OcH<;Cxe#oa*!>Ht>Q{xQ5yGi;DOSM@(>aI@uf>K3Ppn~v+ zpH#Wg_s7H0XetUzx#M+g0=UaeGEeC~06rsbzz0mf{1xb&zYhJO^sVY{jFG=py4kdH zTe7Q@yijy@_5MrIg6jQi)Zy?4;V{~pyE)rhpKZOCZTYjUm&br_#mEbt@Q0?g)wDhU zSDn10w8f1sXZ0AoiLVJ3Zimt<)}?}3S9Oq=mZd_4XTaJTmY}eou;3ONgjX<0NLdU4 zT+;Wr`vtzV(huv;Bb(vl*jItEA5V7LAltHllvpg-Wgf;|x& z^(^kjnASF0XksU=559@3x}En3)UX?9hjGwPm9>A8Ivjq_Z9lnbuj*8(%b)-#buJvB z+Rv-Q;bph}BbvFQrn)!{H|mqu)47)nmLe=+!2xbe)y!hlYN< zQWgF_9<0^b)+;o^9hj!?T2v{or>Z+(58{VVXRNRiM8s z1*vmEC!~rX3Il(JU!&!>@7hDf4zu501KuBXg3tm|p}tR9owSZpWu$_>WU2n#n^bW( zs;D9rmn$K+2ehqNfF`Ivr|W@eu}X?v31Y3|AmgbdgAP1HnXNX4VLs&6N|_9hfrz%! ze|_&&*d!246hbkBkrGZdHkTQEUR4_*W%1cvM^y7r}dByp?DN2qlA%8M!rm4FQ|-rvHik zttTk^FC+V_;@bHl&+WYmwrp`Qw#}moRJAft?UC=%RTJ(=-myW5M0(kg$drTNdd0d-2t)YkoxO<$Up<40 z4pA9RtF+^!Z$Y*NYB&}O8r#0!y#=g+Ri6cqCdO{5dfD`rcYx**w&wjov)0;H`S+m2 zo7>@af3Mc=*Kdisj{Rqqe&fyk2I|;Bdl$3Y?d?!~gl56iu~dw&cLXl^s*@J_Fye!V zWI;%^T+)pSPzacEEB*063Ipv4c>J$%P$j($O>A0~KAd0GNy#uEAytPdFG24Tl@dN` z3OYU&V6G1Kgeg|BQcZ_Hfi{@VFX18PblwWD&Vq6_J%sj>sHsE;R4UQIbLYjI5-XIb zssxU)!Qg~yRCE*_V^;SD+vl&t){-$M&KKwp?1{$UvJ|}^(yj6~ue%NS1HfS{<31X6 zTN^G!l*4kPUSL|^hUXrLecMYd{ligO)>kRL-Az{cy021FlTL!VVLAW_cN3lky<^u| zV>eZlZ!*fpz^LXuk`&AUxh{7HRem+z!y7>=dr2zhfy^V=In4C7 zK{(UL!Y0v}WV7-m*bU&Tz47X++EwqEUe>FX-@;v&b8NW@ay$V!tg#!tV>g-wFTrGO zp|dg8%cGuG-KcJ=y;qe|na`t4nWmM>WTB;KHSz)PtqlAj^;(+kQG09+P z^>21qUz!!G6UJCgqn=fEs5(YVo`90A$0r&pM@9KYBV~-LhxM&lu|B~Z_GL-f@I=h} z0_3H}+82CLqdR~T8!Bv#-E3Bm4XB-?=zbamf0qJAF#5spifqCGFsiA$)E!;zisnOq zK~z%e!vR)n0@+%078svDrV8=*L8!xp>s+=c;TkFkT z42=a=(4z9)$!5w-s5z`#UJd^K7BmxEz=thRK`N)A$_%B_?epfYv*0_m>(oYQ6KoeZ zx>sP|!(DT@d&SMA748)Yb_-UL{2-JMW~fer7Q_`MDCdg@;Kf(qBJ6$+cl&P+U-uz%JOl&cT^pm*;dm zf~z}?gbo-)wUI5`F&0mqSV~|bK#+2A_hHTReg&hZ-7M%Z2W~YRyKlo`JFK}R2{wpZ zKaG6W36+?QcoDq{JZI{2F^p0C=n`FV;dHbwoko|^_)kbtoxJI{% zX+i17b|iNP{W15X7w@sRo4^^I0cFG8@^UCLxat@+w;tu|(A5@vk-vIqwlZtvTX@69 zG4!2!^q@Uq>i=61#7ADB&_xQ9QQ-_!h%W>gW(2jpC z@Ay}~A@ulR4h08<^;G&GBR>`b&jFs*4@&B2I~%XTCV)zbh@!k!t&%L9k=26_iW?H< zLcZW+s1D5H$tv^6FCajPJ&SX&+SN&8As-k~kEK^9HL7@~vVlxe(7QyXgh>aI^l2oG z4{*R`+^Gtq=Q!Rs7P|{DfP}vAUTBStU_mK1;k`7?T z>ZAc=IXHz{|B9s3Q5yc>Cy8MS z#8gU%O(C&kNGuaGRv&(dGWmfll2$PG-v2-)J@f!2z09SghXx?xg2!|wNh=t8=fCwH ztnkQGCA~67NsoM7Nw3T!X$50%{6DFrhxVkh^C3S}xQN6s1r=5)QQ^5Hb`XgbE3su| zB!(#vQz;>KCW%oyE%TsQ_0WC5)@*B86-grmX_XSv2_$_46 zwJb*pDS?@bXY7 zL72gq64HH1`d*Sw#T?a1y-7Naq!ol297B53-B{tI+ekVca^MUmF-$>)RZ0~4D2ZJ| zVwsq+`tWh;KKnoxNh=657*j%e3Q1>^v`a~I{78Rvn%)=%Wt%ai2a@zTB%MRjnW}8{ zH(#N-6oeTZL%Q`YRpCsM&L`>ZYLs_k^ZQAXKAohCK^lB?87iSa83-n;l&J7r5<5kS zslDzRRbu?T?$IPMiXy5sZ5a%H zv|yJ%RpEjKXA6En2|pwOkqU;reh7P`wj4X~!wvAU5{OZ9n;=kyV0hS?VQX$W%q-Y$ z7Bray;bS`6qSo2N)~Jp7>nO%KrWj%q>sPpPYx9n68}{-|Zag&2>!DKRy;ogN8NZta z8S^0{gu#Aqd7D|V10rE~$LBE5w)B+6s~5afvLA@RiryOA*80qPcPoDOr5%J}*#&x2 z7UGAFh3=fdaA9VHH%+XFugY_uk+oq+`}l}>^Z6NLI(NWBZyKiU^f zFNdbwX0HAy(M;H8CcFfj4-VxRL05z_vlGBKk8KZEDRe4~xSEvZxvkLNE%@;~^(_VH zpqym&nHP9%l=_4z?t6xJ68iiI9Sr$-e@u^fF^GiKqrIY0fBn+5)KHdzFn#oTv^O0_ zJPvhlUiYyDZKH>M0{>%Azd5F0U5o_{T!l>!AIuM&G%Tw=3IzMouLYMtd;?p=zAE=3 zFcG#k+_mrs#d0qOxQISNoD5x9i|y=nFNTHAu(hT8u6M6b4}D~#L_lY$i;1w2J+J$) zwJ>6Eg#wf3r5VudCme>&P6pIw4}{NCcTY@N<%eK8tvu1Fa<309QMr@8D^byt@_*Gy z%P6&Lr})hi5&irLb^7SYBY%Jk)k#m2qD*W6SZ7lzi<(2h*xvH&Clig)!wVPBOB#OF zVyF^)L>Q~|?R2Qp6=_(c>Mz_5DR?7$;OF@ER;t#ho3L8&2{>%9NSpXb!7^0PS1E|q zCf$|ri)OWZ-E+A7f?(jQIscEjcY(8dTK~sq*G#(a>Bb<8OB5o9C{s+D&5oI4Tq2he ziEz-#j6zeBnazC8ZfBfR3_=k?j*yI~ri=DO7?d-|b&%{aVI&%){_pqmtj}fd*;DiV ze!X7*pO@ydKWja=^{i(->si+i^%9L`{km&6ran4H1LYjH)sIHCc;VhQa6Mc&*)u;d z0dApzYe27P=*b@cE(vfY25yZD#}Q!t*CLiH-xve;kP8P&DfP<};07DGTU6`RKd+e8fex`-$U+{!f|4Wm^s`9n^cj((Ft$s;N-eKiDE7-UEQ?`r* z%BM|-P!Yv8-PgbVE|e!IElETi%D04m==_#M^g%?#mf0YSaOnm zicUgvtq5LM6+^{ot1XAEg{r2cl{BSc4vbT3FxC;*!`A-Yi>|%AVTIs?N2BvNQD>a7 z^JFq?1>Fxlk*E7eUe7i;R#Q&DMs6?djfO~Z{I8^3 zGqivI!p;hjQh(B+DL_)Nw8BFSm>f?)qiQFWhdppVvk?>=XhZr64~-)@p|D%*d@M~V zRbTyQnJ(3?g#&D=evx+IQuL*4s^vlzs6SztXrn$3)DkH~SO2VI(L{!o?D9=-H>_lL zm+9SB)M$C{n}G8E-a~Af#gbXa;4%6USzpaE?BAqMxZE&0N*H0o4X&{(two+;Ff9|r z{nI<-WC=s~ulJPx$)x`f=o`RF611ht3yEK>2$saUqQb^<_!|vPzym-wR9umd(eTz+ zgNg5GpqOe13lS?&8jJ*rM_6wpUn_qQioxD{Kg@c^U7U&He+^ym8k1Lg5Ld6Fi7r3kTVVrm{{jh+q9Ai2DQaHbum* zn+%EX4|aK{yCn2{%fLTwQr`1i5~nyMjxi*j^^oY~ir-nqf6I^~ zg?)|q!Ek!8vVZ?^6UL9b;g;aw)rCY^Z%|J6pmcG08LPR$@cMC^qpE)x#C9G;f8iMp zFHBmFYEOPwUw6yI{g9lVoycfU=aV}BEEg6s_HBcVsnm98N^?Ibjm%)Aa?a2 zwsSf1v=eNr*dSK9h+_=mCY%Db%h;@PZo0Yuq{5r*$~nWJJO&hPYMuSFPV_o}PH+G} z3d^B$u0{j>&EKK}FlO@|!U!tyNRiAqfX_j~Fc1gO#p?jJDgA+@{~+iaz)BLdrSdp{ z&Os}buMS{ToCCl{8gaPGx{#pb003SLxM-k^>9!NV!BdR3tWX&#g#`k!pu8n?lAmv9 zeAcV$44x5b17k|%FN|tRns)m`*B*#KVI2Obq+R^8#v&GR9B60gZ-rOsCU1>^MB z#nzuRO0WLPMStZ?xAiw0`YQ*e3Q~%2dsBo^!SKV9Viw`BZ5)Tiew1K-aam{}`VDmU zmB3?Ef(1cK2KfG^k|lwCVfV14Wr?@;-(zC%=MgagZJw}8I{}?9-WCc+O-w#9J#oIH zZ4Daq9CpcR|O?gA{P*PpjP zEU=P4@ekW&1ZY~La4`<0=uNmmFQO`4yULKJGM%R=_+bQGEsZBC@P0z=q>KMRz>}1gCpvR zA}WMTsV{~!E!KB86}4gvME#>ft3*vvQQIdIb+1}&yhcU+=37V9vOB0%842Pxf|fDkR`I~vJ&=r zqdyB;p=xSlk84dIK8)?JhxBsT`)AEWy~MTZ3@uuB7Hx8S$E794;HFi7jfGiS=HvCA zRy}A>2D!h|fAMnA-w*VSIqa!b*Qx4a$yB#*;m{wMxz^m9sjToCg-3+JZh!(B(IBzVMC6$xRCviJU$;71Ksdv4*A? zN!x%v1%_FxhAD~3mobMv1jD=w!Lc1qCXK(xPnkG=TtRSI|7)(i?r(*Y#wUxvUzN)B z)einU_GmA5&YD&kVu7M?6OP4oZ;kJ4v@s zj2*A?HK}}!@<_U_I}ZU%{jcaGRlaWiS%-MNL^sH%8xV$W9R>N$Ygs-s+WCi(ujp$> zfBw?9P()?WU97r?x9>mBl%Mo}Y-?L}_ev5pNZ!65huK4m)v7Dwth&2x%str3oQ@UX zDOe7}v@X-_Kyz*|!cASecCCRXp%7@cm$ft9?InTc72nu_CMU#ApvieJ2{d!@1A!*9 zoIsOl34!LBS|RBq9!aZ4zX#?S!Z9R?;iOC7`*0OnBN>#=6KF2Q;tB}8p@cG(YzOrG zAlp~TN@DV*@r#74u0*Y>>xl8}l#cc;a7?G2q&4!}MW~T0+F&}3=bZ)=AWlrLe;eVt z)=EeJtUJ86(h;`8V}|fhRmAKAOgjogvK@udUz@3niK3Kf?7Xi$wsMryqjVBm>Fl+Y zK}vrt>A&H#ZKYEs393|`ytdK_+L))dvM|n8Iw`Qf(CLiD<*Cucb;h^elGuSH5$jYH zYyC)wbzJMjDp#>8l8M#T?La(YQE?1c(1DyG6^#{zFU2u)F5;a@Iy2yQAW7sLqH-Qe zIqynomHLWR&a&ikIvq%IIUg4DjK(H@A#$<rRQ+zJwgf!JXuuN|JH z^lL8w{h^?504qt*mdZ0;=?FWVsEV8tr^t@B&yp75^;y|aLo_gt>9)^W0G~Avl!Br! z85|P|K1))(gX3qvw0+ho@I}UFO~g;}SzHHz&tjJ2vzV6Pv;K)V1MKpJU0P4{pLiQ3 z95pfd?v)F;M4ZfLwTXz&>ZXLoD%p|xHbKcsV)ETBkCCi*@XO-3gI~Vk#7Lf2%<1Q& zVix?tikD?88T^{O!~wt(jOkTS>H)+3q$Vah{S`ND^H7Oj@kl-i z1}dR3x44&UabHDV?BJbl^Ghu5<=A>SMqwj_-S)dLB^t^>RgyZEKrY1368YO^+Qg_V zmXStI*2~$*krv4xnC~B_WX>d+XF(8vA}Yh;J!SoJm&jJIi)pRf6&lIP>|Sum0mr~{SW9uE>nQ<4<3 zLCC*gbz2;?YKwjKhXhOBhY>`BCEwHqt>r1CZPnn$6A)>Smjv!5Rh@z*bvqfwcKi($ zE>j!UsMKHtdxou%i)l_;vXYn&9tZrrpKL8zemPRw_-C=Ji-v~aMeK^FAu*cjw&G?r zg{;5A6z2U(Qvf||U%=n&)Nq6d*c3nb&7v;hIr8#to53|OA%oYJcoTpJD`U1;8GpDS zb$I{@2x*ziQ94){H({qPj@Jmwl-zN#-0=9tVUe^X)+RWv*7{GZ(`bpStXgal7*^IZ zRkO1~mxED3=Mn+dPiEPbjJ5U8r|vs!@Mnn73bcr$D97?9Ukb9Adl=RkgX7k?J0nm5 z**m2cgLtqO3D(^UmK&MqYiKuYg`Js<#sH-;$fZFtmwU;;3~&G?)JVIH%62pogw05b z*^<`WW>y7DzJSd{8h`iLOcP$fU?r~$h<&rem%?Kv=CJDsEA@yG)`ds3rv&>ZNYI&f z)sR-%o~&VB&kF7v!>rLM0jnq#(quxt*goP64_1$e1YmTy9$=ds@rcHze!Ocp6GvD% zN2KRkF+MX!M01YF1W_zl>qe|dTETVphU|hs2>BXi8t|Fdg%>~wF+7^KwuKwP60%=w-GnVoLWk3P$sklB7V3USFVjz^7~9g6hC zC4Tt-{Pw|D`}dzT{>rQSPY#}deMFIo9CN;U<*noU-#oEkD9?^#=?p!X3`HN7-0;it zhEticq-Ofgr=ex65vNbv57KmV54ik|a=eE!Ftd`=y8JQBpBIJ0D~Y9pc&3_ZU)xNP zZ!1hj&))cIF$^^tSjhAot7uU$!u>#czBn9WCOVKxKJ0ZHb76s80(BuK=jzu$VX8fh z>bY9tXpf`%yVL}hj)2q$LTXzOBzp#@%gm_$7X*^5sIxxwM4(0!-9!`Jp$SWAUPYSG z?V^cpm81YobaOP(4dqBzO=P&5;Qb*q{$(;w_iCa_G_jcJ(8LmG0vZCZuC`qae9FUG`Qy(*<-fU2e98-&A09c6T~9c*hCL@c9hy=pOvwr?l0$my z?DH9Gwd4d%tWgkU1`;np-xfGoNy)|*cjRJuE=%6R#Ktd zGuhp{XVTl{GqblhQ!fC+DlBHq3^rkgC%|-YwlJC7L7MmNu(~ZcA&QQbvET@6jgfTU z1X78;_Rl}C$A2SWjZZ<(jb1yjctm8R(@SG-)w{6|KVUCCvVE>qk7}qJ$5nJTtPkZ^ zusyb|LQT+_v<7iiL$UP%23-xPe*#J__bKcO0N6`3b|}i)z=@QpH?Yysh;Tztk~4YU z9j!6+0|%=u6VXVd<$zLW<`Wj{85TU`S7)jmn)E2vYdZYZtED8S8~MQ1WBRyr|m!E zH@>4kxryRMhCarCck=hXlxF9=GRY?z>PuSq59hob@v@N?4b8(}HY{t-0)j>)A&4mo zzF}A;k2&&Y_oJrcSpHYUzoEGRC|SR`p;Vxho+qVJP#XLWKyazu zhrw~H;=<;1V58_6cmM@(1c=P3Vlvc>$v6}TC8^BC|RFdxq9)8un14#^1mMBN03jOJry~LmJaTBUyodku*Wvot$ZZi20L{cVjJlV z(L8ns4-XZSOi8uRGIyV_<;m41(bzj3FjRUQ2(iyF2Z>Iqe{Q7D3BsZOKZQfGgCY10PcYPM-)t=;@^)Fp=(5VJIa48if`0^qGVQ|f zn1CI#IHE6+LtyaN_@6swHzn-xH>dxR3stte+*T!hcGG2e%T9%63q}M&n_;x}qKCDD1k?2`M zbl?~vIy8!hP1JjIJdWt~AQ~9F75^6^m1y^WQJ*FIcljD!yNMM_ZI)XhElSL$r`o>!s-c-jZpk&3*RmSOhR|$H1oZ5MtxtNyOl-NgQlXXBbb2+9v5!Ce`<3r#isTjeS|6LOsmxq{d} ztQE7E8UwM$wiYN#kFs&Le>M1{MF#CY!a8zJK1hBJhxM$$+>)ZXjuP~4zoFMrrqdu3ihZNjej~}@wmPT> zN@&8HqXfx{Kbsn-gma2Hl<#%yMeyZ;xmFu$Bj{}zD^(Le4F}iiul8sc=@bLe8y?g} z3oV1w*;ZZ^>|!mnQ3ZiH*9~nj1HHPuC4_B{ib=$2W0lHv8RZ%PxlsNq)Ckf^2mAA( zClJrTo1+cM3dfz6jnl@^=`yi#@JMK5-R62NO8FTm%J?rCbh4wg*5z2=#2&)&HBg6C?`owelqH_!X!sx zzgjD?6=SEw*vh`y5BI8|lt)6&mGn-rid*P5d3{-Tq~WS@=f1HFUQD-g5P|+(2UFzC z!RN7ZY}CMr_y_3di3>SY?pjC z(va$M+%>$ZrDwOYda?W`@}%<;|nOdxgIH|6#sKNC@um;rvj~6FYHmQes*B!Otm0t_F`d*M%u)>z_W~H7`1~J=Dya&vN7}! zXxs*f(`DwcK;>yDedIH!hlJqOIh9l)Yhz?Scs1gWCRJ2~pjQc~5|)A-gELPEl7<}b z4{@K}y1>);(%~YXP?6h+q;OL+Bq2N1;>AQr>bKge!GS%j%m67RE3n8P!DdHCK*a02 z_!=3yAGvP*R0YflBVHdk7d3`qQZLv_xNv6tNJ%~BDvjAY-HxlDCSlS?^swxBQq*qz zr_8|xg(;Im8!=Km91Jm| zA$DSR!j_ZVc#wmI)E85c)Eo>Xu&D6*;-Y6$3U1^qF!Y$Idc1-NC$RwNmr#d6rxO~l zZ|sD^MN6Pj_~J})l%6Xecq-khv3|9-fdbxwzU7=i-ahHPR2_HF*9 zh0laX&XhICqRL^`d&AK2gy#?zM^p$AyA)GToPRGH`HU?Y>^yWIB{R1ynSFJCp_KbT zN>tV}tSq(?OkArQnj@Eq`%BULQk%y@v(TpWjghpQ^=v6>F49%#mcXh|iAzrzNn4_Cd+$DvxenN-goBh%KKb-fK3H^5M@MxXp|IYm zR87Y@O5gV0aYiR#JpTQSE~6pJ=$pY{^!k6LK$WN8LAL(5aK}t5sYRJV71qW z@TUX~6c&cnOWU^nv;K+&GmqNNQW`Tz<07R|0k4E|v_l=DS|-u}B570fZ3^Dh!X@2a z>eYGLINxyvG+zG&rgNIjv~0rH8?fxe9wspw@XkETc$6Pz!Que?vz1qoBNG96Gs^-C zSc05zramU5wq{C&OX=|wE?oO*;B^Sa5QRH_#CPLaW!@`; zVjK^tQMerI@Eq%gROkWMt6YGn=sg*h!9imMApJE|wxs?S099PbfRq%?^Z6gGLhrJmWSfuQLGYJupG#6)GDOHjpbNd;A4JeARkHV2%|xT8z1wD^4+_S z8F#g~7rD&TYy~PKi_u^~Ggdz~A5gKYA!2 zl9(0{r4v;$cED+fdS@d|uofJ=ikqY<0nSFlh&idit)|h~gD=aj6E2}unj-OnM18A* zYUFA`vyEivNhnfx6iI1i_XS2}eLY-YZn$t^&OeG4l>uXAI!uAm$qgLQh6!+&IJe zLYU{`8oiSUGNcYKG3Oa9E41O!VD*t z#EF?Z?pu_3#>u#q1K$Pf_tCJj)SltMMzAPoR}$FhYj~Kt(2s*PTb*uc6odupq~oAL z5TqhJF?H}RE=FU$Evhr$xshz{Khxd?*YsLz2JZq4F8Og`xF+pf|2ueBj#Y&O#HNB& zQnZNM6&N(bq?#p##DQau&~y<5Zw{16Cxyu~0~8N1u15A7nRUNcJ)01IY_bQ5@ah0e- zs>f-r)wm$quw6d@Y#+hS2Q*p+8dWfH+3N-mgt6s2v2uJFf%2Nqeu|9+YFbT za;8A*g;q2+=S3|L+!u-wx`)Mxr1_PT=~DXX+upmB;J9X@|ABp!^H^&)5CI1H3;2N4XEXY-MCJ#pDp&7`>*(qN%5MNs>X z5LEAg*XG*?d}9^gv8u@Ylf=zZhfr&g@wFbe9sgwzcyFr-S4N|-2cE6-HZY9#XXq`@ zg(pD%uV8wRzcYjY)XyUXD%biY*TPC27uACNUR*Tx(=dz;2S93?H|~Y~7!M$k)qY zk8K)zY@$o)#1E*ESm9x4bt0U;GV&aA@)8mu;c~qZcP&i zNlc9}g@h~;8l!}&Z9*kCF7h=jr+0wYL|jb3u>>5bfS_iAIg>e@nHio;N+i>*2H-FP z?08e;6>I_|5ZQG!U_MBMv139P3n_>}3QvUfx`~)*NEvc`;lb+yPaW@HG4d(2mix|> z=4HvpSAQLf7L|(J<3vlZ^HZAsSCcc>>lo{IsEJ1)WFiLV+{t3^Cx6{k=>-PfTj+;!XxArm!Vb@qvh)hBl%)b1+ zN9euN^FYWq0TcU(XrR_`tU-;|y2B;!m7P~TgPp?q8DOVIlS!A0j zfmU+dBMpy^66_|OiF`$s*i&AyL+v8zbz*lfJm>8@iTmWUzwnS zyXnb|vQegG_l@LZV`|zmyjb~t&6kbw1tokNhH+C2(~osrj!df|wMg2%e6XaPDvG4t zsjmRdAAsaKBps3s2yC#m?TxJt>*N503&x&#WV0VD;`bFEVk$P!Q-piuw~s4zrTA&3 zH&DvkBo%`o9!KLSkVU@`iS+w$WaeYgr#?wf+$)P2RvUnvU_hih8=}hjnVs?VYkbbC zs=Wpq8!rH9I|nM=NUuq!LokeGvGqO=JUZ7H&4BX7%rcw)&%tjgs_7|SGX&sWsvncS z;c2Gmm4J>$B56Gg4VHT!p@AQ}P6J`8C zE8W#a`vG|qQ&S>6X(Q|L8}vWG&lQrqsxH;3yLm{7ue)grr0(u!hSXh=0rA$|V1^j~ zUgg%EJ7Zq5brhNeg1A66f+48BLk8?$&N*U0V^3~#aKjHOdS-)9*Rze>%U;EAA=y*G zoYuB!E#FVV3`6<(xVQOy1D_F=*^O_extg=!Q8=*3D|c8RAhB6o8b>tOA-Z<}$;iKZzTKTgfTe%Hh-weZ7Er1oiKvxl(4P%W$+p0Ps9gGijj zW=Jk`*tw_~j;=-}jQxNV`1+Cy*f+x0u1%hO^g8TU9w1P7G4g9Ct#M-+2 zcVX$M-1Y$OE!W<7?f;okC5PfWi;H%q`|q#9hl`KGbm2}p)rINQ559z{^qKYx%oY<= zbC6%KPbF;(GfW%{sLq4}(Mn=C4l{Yr5Z(3w0Vz5aCZNl17F)(1NptO%9h|XsF|AfE zjxR)z6Tr?Ew(ovD?RK}fCL--_1gEt1mLd-(IFOfRa>rgy(g`1%+pTf*C%E)mA5qkpPPzZj({Go>j8{jwzV?b;Z?0@(Bi#g!)3%`Q#4F@Zs=3pz?ms9&vh z&5{o3?!TK`Vffk6NKdXSvv*s0IChVuwt?r2^m+-uv9(}#9mv!M!#Lv(`;vj~;ME1U zYSSu6mUpSrz$ZDFL& zc4c@6lr;!2p|wCQHUo`|$qyOt;$7BSYIXQ4ud>!s>#VgP4HeKY*?`xJB{VbmHzz!n zr|G6s@EROa!!`H8RbQr}@|=^@A0gHO2)=^0#@A3QJJP5>Qv%y(r6l!PcCK%axowl? z?$zIo_DciHz+B*7LV`W`y9^R>ykEz-x1C*;fy_DnDgN#mQgpb@b?N^}{_bq0Z~V~^ zm;RsR@4oFK@*Db}#J#=4w~{KDGJKplNr|%lAN<|aIQloa^#3G(*W01r+}}Mzuf{eg zO|OPK!B!U@&6EUxR@loV3Jo~9bX*E;d!1{UN0-^A;Nl@|CZB@Hq8*OX_Gsw8;uJE# zx#U9-z<>9$suiT!D(NaSNab*KYlj*Rae4nczXnQ<4}y0ROMnjGGN^OT>77lRW$KO-+ zom=?hUMHO{2_sSwtq6v-KG+X|i+ooWpMTY((bsLOq98X^^W%pro?1 z!<HkUow4+16xj#LCYZPcpbcUV%cXKoHkWT)RVl>+!9sQ+TNW=5)@A*u-wM$71 zHtxUsbG$a(AuUmZIxLpJ4-aPwoTb<0@@9WY^m@s{{Y_#fo^-33aI5}O#>(Pa>$#uE zfV4Lt?df^1lk5iZ->q`^gB0Q9B*gaEL+A+ee-f8=o_q`Zbf;Sa_}j7IGX9->CH_Kd zj8{c-lk*!+f+Tdy;)>1h43w6|WqKxmw-B%jj%?y`9J@PjAoHx423>J1t28onOa^+e zW1hzpl+5;dR}NIMbOsPCn=G|Hvwg?eA0U zV8EVC&|dN4VRENRi=+7i)1>+T-=f#n(yzxR(R3C-T{K2@lnJ%|KDY`v1j{dPO{XfYYf7He=L2H<}hp z+A7y(B8`iTY7oPoX>j9QtsNX^BGY!Yj_cF$CDv8wT;oEQX0A`XNb?ans5;Kb{1!I_ z&zDjltG;&3YH~9j_YuwR%iqbPS3C88zYf)FR@`b9{9_F5smZX%k`M$hDQZe7;79?p z&e2%kXHYc;gcGRhIk8XZNLf*Ld~GIaab{w3!a`ed(dIPV)N>|yzyojX4iEhF(>@#@ z+nnmZ`%|Q%C%~Q(XL9BvK-iNr@8Gvi&Xg3zxPgZL7gU}km2sc~DP!oS%L0w{uQ1Di zovmQg2{t4itlR^Zt6-xD*2{o#PiG@OLT)JiRY3+4WZObzs32$CAnXSqQ+I;AYe2X> zLOOkHpz{^zyKVq_#sF>er>AW5cMCL@qZo|{*y9!+ub;`P^7d2Kwz84irT6T%;u59z zFzHN4i@S1PrL+uZ|?pQVl`2pmnoTxNT!E~ zHL}USw0r6M{yD45YUI8T8+5dS9z)O=PPAg~j-Y^&@uwkpg+iqfYPEq1cgKo^41O?$ zw>x-fO|V0C!xWqDm5T8}Ixx!jgmI>gF-|e&6Jv~Ez*NI24OF(2O4kC17878o0id$4 z3Hqm1IXb1q!o&+3Eo_S2T3oc1W_pv-4iL2sP>~G1UXSnrX1dj5rk4T2Hq&$Q+cr}X zV4_ml?FW_DpMSiZP%wAt+(F1e9ttLX9Mdxm1uQ2)1l%9Kifj!5bH&Z61l;k2TL_bW7}GyzItt+s zDE1JTqGkBCD*%^z2$=LQnEr?%Ae(#Wanc*IZ2@jq{8tHgs|Vkt&u97=gU{+^8PJ}v zi^r+s0pj7T!a6fgX?r+vCJe37a4#X9eU%QJNp_o(I{%O^umzJpnEAgfKtUtD`W)Ih zrK}AE6axQH0vQC@L;&$dBru>VmMRyNg%#xIE&zE^X?&GwXh=0h6ksC(?o}FSR-}=Q z!y0qAmi__}(rw>s{fM)8zm;L->&>!}SSdndPn!h@wELZ9Tox?R7g!Wt3iSok#Ln8a z9rc=OmaV{%p%+kqbXS05OX@NxfN3xG4Ce(10&5{M!`VWth31vzT7lv++z5b16t@Wy z*KA?)W6+YV-RMCU1B*S$LO#)$D$u!<{zh}-@@uPx@wm9M*^+~ybSfyBpT{dvvu+xw zG|3r;7bKEZp4J>TN?7m6^sYzr#fu@m{8xwhi}3AK&?u^OW8g-76D+p;GjsvCpS7nhFk^$j6=+UnDFx@~g|hd}M?1o)Gu%KFv^cR< z9JGexpxf|tmMOLr=+^Lz*uUl3TW=je2B9)BSGTZ!7T#<#tDnIaA>S8!m|yECdzh>F zNV*66qrupNjr%HlnALA=Bfwq=^Co*4#6d=e_5q35m-FZo(w^4*@TlIg3`m795Ob5p zznH4)<;6u6bSHAAIx_Uz4nTbhs7S`_0*)i`7v>jWpZtXxhRZY!a}2NOue4ixvbxPo z1J4iCBL=6xfr?@GRcK2&TX*k9yxVO>HOm|sdMEjuP7whW)kU8;gdR46xmMylB6Kwf zp3%6=;)@2u;!S072r)i+R9SdJS{LJa8{;j-_^v%Lp0P2^dA0iYndvRdeKy)Vinf$! zx7cW=F!kmTgUfA(jV1&mL#GpMNCKKnl(;xI+c+DQ)j7mzpMVo5+)x{1lVa>oj1M1i zv>=Aci^X95bAef|*8i7ZxR@E3x3U~)vF8adWe^bX{Y!_#XE&7%KNhF?>)M(P-~o z@6VlIE1h4{^;iFrx?N?%`vVTY{=l#3@Bt78c07ELd1jku&^+_`j1C`VUdPHaa=`>@ zOb)YPzk+N;Su+FMNUYI|(Ha+~6mYI1OADQp$ znVhlAIS4uE*w+eXcdSVAT1u-kXWSvv6T0f0$Gc~pErB(~+z}IZoFaZci5u@s?}~+Q z3h{FqSiLw`Yy`0?KGkle+aOr!C&>E*xhD=Jzr7pDWIB-yH!A8Tx+z^nQA2!%L7P}_ zB^DyFGYl~&oG3UHU=q0;q+nwRcA$dAs9|S>C;L?$mRRJq@-{-j%fV3*U&Buvi}Tf3!xQ~}B<%0UnB7`v9{-7bat91FOA%xDbd_^Iln*CpEIzDc=YH-z z9^I9Z@eGx5W?Kj_0y0t)x}-B~%&;r$74V{+I7QRQZBsSADqqd2x^_6}VO2S!_-s^p zH6pvJgh9MH&Jv4#HXkf8vbEV>t!#Ud?fVp;s?44Ut=!0;oI&{eE;v3Tvh#7H+7@Jv(GiJ07h%-vRDSAB3wc;qMXD{xp< zE2)B4N(l}QG!}LgM_zvnXomxzWCb?!$mS=$hJV;fxtuqx8<|-O$o!rUJZ2dl&>tZc_wXP%=e`4wa!4V0q+yz2Yi4GOJby1_r z{sQrdq((xM*zjNlVxTF8_ngXjAZ4tbtunfP!{q+)2XdD=x%pElq-jC8c%)jE@T+AB zA1nF%!V0DkxP_X6!@B>iOqP<&ElP%E1xS8-gqYsm4mEHW2ZQu{Pcs z#rt6=@D>pdrHrDdg|2ZYl;Qt|0=-F~yA2Tds~e12`J-&WbqYA2fMZ-hxIqX*H;4}# z?}me-D#O`h)mlC-Y%W%{5*kH9y$m5@sOvYmr!sfPKUHYSh5p0mk1F}rCx`R^b-*N{e05!Hc=g>AbSf@K9xx*5s8RLL8EgKW>;OHCG{ zW|nIF^h+Tl$wiPGkz_9@J9#8|`q2{Wh$g;PdhM|1SgOP(C;e&gPKWE$2bFEG+d-#zeL0!siJol0(7`+MvL+=o>gWliz zxS}?ws6YP-NnR|sjmQZdyR9$)8wqf40sx&F4(YI&^J+b_7C>u4O~lVzZ&N-Ik~te> zIBz}q7K{|VbJp)dANHK}8vK?yYrr&NqHi_^kO5pch8e#TY8o!W*cH=|fyP0raS>U= zSv+qTXvBU5yqxNN=?_!DfCd4fW*&rzSkA(x=QUpAKW>%(A-zmNeg{@-i?DC)pqtI~Zcq-~V_UoZ8O?-!&G%q4_4}sPVSNJ+Q;Bkum11J{d&dT$ zRF&vqYH46-0hRRQ-IdSxJHY4JS(H7mG8i6#Aai{ot*Hp~p@Pr&NKnBdFo<1yA7r-B zzZrV_D!oTY?=qps&u2+s7N|kDkNZcWfel^>_EU_%5u=~MfHyN?uF3r?b2~Y?40AU$ zi|3p$x3(}X9N5rsGX7)*HqxPN@HN~6rF{-{LTMQ-Dy{Y~R>9gqX3Lp9dLAGKVXsG; zeioptqL+SwXcH0Qz}Og!iQs0#cN-XgEN70Ws0k_(b3tn*-W|jnWbkYiHNs%6!1ich zt5K0)9Hkf|h|w;AQ@0f+_Za5JX4-O4MO#}|QBxrtqRP`(sW&QdZRY;N z$xTYVVc&3IYgS-8PgigC)t`oLSE6UvXR96!-+{{a7E&HyC?l4_Tu~-MBr{OSrTZ`l zm;uoe`G!=O*KR)SS*e`zmZK!5n_AmThY5jzlZok6r~s@n>a+przE3!U8j zDJTrb6V0O`dmhIizDUHITZxFhS29OpW?@cK$!;czW0eHFTgniho}kG>(VN&zaT6s= za2DZG4IK6za8l~o4m$H8ZVrmur;%mtjlM+ch`T{0do;r1exMY*ba1M+CdXROqNLmn@#2XjRAewWE zvxedBuOoD>VR8bM&wl{uTvE}RHACDHk=!FPg5lwaTM&vyW4WJ;!tB~S9i!y>Lol1+ z;r$;i*(?$~M+p*~_0qPGhGQd7W%Q0KjZn3yiUEMZ+Z9?dgZPH87|COfyd}gU%McW` zQrBOpL_5ESM6ZTgVMFkPIF5h?t$U838ASuds8~KZOxP1w^b=N~7*=0QV`)&nrQ|yd zeBm>TL7Lq`8DOx`$PHL!Hn(Z}${Pu2ssJ`@5>S8X1AAtz1NLGaunYSg%07+kSC<<0 z_UaqiL&V<{<_omQ*dq!gy4o?*6qV2&j$=!h&Z43ss%Q8~7khFd$) zvCJaLbA+VUIBp2T2qL|eb=FR)1W6?gRQ8T$U)i4Deh;vsC1Zh@*;r1GjT>y{VX6h@ zCjL819N0AajPqf`FwJZ=%?tT&i-r{N;2T}ozY3I=36_3IDqVnJQR!Af%`5l0B3jlsbk zF-o!0;fQdmf{!Nn0Rld{!tr+RRvT|;piUvw_8D=4nylW;`Y*DYdpj$E%8%ay^xsNl z0!;u)HB>%i*1wn)?+C;3j_~(JEC%TZE8o>>o`(mkyIP*6Hiv21W{~J)a1OOv# zc^=#HXUvWU=834Bq0b6b6!0pjYM9HshUaY2a+T!jdXSv1BpuP}7jmkl{xNp{Za{Of zVw4jjPcZC&hS8VWOX)b)z8gWj_miW`5zL9C)o)|ihxH3VE*$7#Fj^TLPX=43JJkg9 zXLPujh}b;#I7)`s`GyXzQALp!QcL3$|A!dx7YRP{!r6liuYx0Oz^fH-9RW+cfFRcJ zf(>_T6sgf1p@PY-l7dk|}>;V*y{Z^hNlKJ}<% z3~PtMd*)?U`|aC9RC8bS6SmL zq}m}(*KIPHY|jbNNQIB=;KYH<91HhU#xWdcGu)7zA%+z;!#tV(wgS^qf|5?wbs`AT#7aV?{^0uNoPXa`dwS! z%{9`!3G}WC^xrQb(0#Z4S+*YZoMW|XbP#G3$|E-J z2H~tY`P!;{OHhl+<(rBPCMw@Kdy@~oP#yXYS`+7fftu(HERogS;L>e{0XU8T4U^*m z!r7T<%`7YaVVyKwh$n-ZXzUD_0n2J%Qk}Shf$E6Gp2etG7TLKdl-CH{rV;#b!a7-{ ziB0=}hv>Y1%RUE@B8L>f6JAU<(M-k$FVmLoxiGye!#KCL9C1X3PN+jE`e-Sd(6?ox zUq!EGa?fV&KAv1Gg<$)%?I2T?#u0@2;#ScF93&wUIh)fO&7^Ew=Sb!rCU-bsspeFb4M$Jp zZsUmWiAv$K-9>g&4>w}LAav^_!JFraa*ko!$+;IRt)@y7tJFu<+?Ozv{#-tNgo^BWY{D6PS5 zeOD@}asubMz^3(?+)2zm-kWQ;J_B$b0lFptcw65@ZGGFZ4Xt&p@9s)t;Mb~vKYRoZ zi-3-m<&Ar6dL3fkrswQH8nlOo2!ga}79A=C#1F#`^i!0oYeH=k?SeQ$}sk%4iThsK@Xy~#n zV*+|kn7AEDr;C3mbXwqpTJlT(i<0j*F|h@j+#$^U^=3C0`$;UPGki!%>_?!D21o!B zTYf8~-CFBP>$b?kX1(Gw%JcDB@Eof=y}jZ$o+kFJf=(gmKm!`r9+!E57b);L1a5DD z-S%j5`!o03o74+17x!E>YmUz;B_Cl|xUi-vQ%GwXWVFu9m);2%KXu=Irlk}9y+U5zZmTT=|BUcZV>sZQF zf8E~N<_L~)WRRN}pTfpl+cy7-_}gwHw$;VA&50|MwM|rUO6;sRlD16*x)@=`?Oq&) z9yX!B5-2lh9Ha$5@qHA$aYABKG`YQ)`@T0f{*2FHCG!1y0DIN}gBaMpk6OeJ)&la%0tVl-A}NK+kufO#?K!>zG^O&9xh#0eFG{S0w;= z8{prya|{xsRSodt=ZvABrveTqhZN<2f!?0RiI;!sZGv``=ftY8_v+@|@dU96Qw9^L^_+K>N1Ei{;kFhpILI-D6v1-JvmJS43Q_dT6VKTk^CK?gbUDH--D}RVrMy zN4VzAaUevqn`2zON}^sv4m83J9nw?O@$vw_-$8;8Q>!Q>!b;1pb-1H{$UpC0HlT^R-q+c>B{T4GzQG839O~n0Ptq`bD z0xfZ4lCC2T z`_(1HI`4d~TWTE8C z4V1Y*+kf(fh)5Ho#6|oLR7d$%ICn9YhxuiwCFaXeW4N0^dMNjrYrFre)2AQ~xemu_7Kru1ae1lRNOG*a`C7u-G zVT*E7qeS+33wnL&$>med)k$XQe^z2WNUUZI#R}BoBpK!*H}a=`I#ZmKv!wCtGE|ZU zo{_Yx-(%y1C$n&m_r?zX(tBAPBj;~Qx-tsVg-V)o!hz*av|)Z%nAwCm4=@_{Q8uf89|16VVGcbwm z_V5E7W8!_~?g;}ihklR~%R=X^L&>|27Bi8s*Q5_-`Y)GD&DKHvwJ6eVILeVR+yn%T zcxrfAM-kGjT&>0Qr>t|Epyn9mQ~w6&J*o5%)Q}#klQEc{_n88-$a`1J0_sd>PW<{8 z^7~6ZEe9Y{-h0A*bfmStxPv)Cu5KuxnB;<=LK@H2S%D(1B3XM3# zZXc{g`7L!(g6Uj&jcXs7w87ZV_p`gkX)2!c<7b(04JsCDrUX!{r@yUMEb-My738%w z5M;`wCTrEY_h*4aj^ll)%zN7~c!r7w| z+na$Nuh2sY{o5rr^hD20Sq6(^6>hd2(T$4Hi5S&_krxY(7&SO=^CY_F!{JxpnuqX_ zu&em$ZJ=+ef1*-(V>PJUseDoW_K{4J`xJAp_T+{~ZkE7B6lZ|$B+%(efYiA=GbMf5 z5|Yei-KLUckxbJlYM`(-eCx!)g&Upa|S+4T7VDSuhCPH(tVhimntSNLLAZ-7Y&)b8O%EkdAOap zbOu@*Zz(Ru6N3QW?0#m$tv0pkO6^=?bQWr(LCqYkTlI)(8V0H#p|)I%GDe1HG+@ha zm;2G&aeA^287-ckoZjfudyM6-Ug<^}qinyP`#Q`Cx(TR}h44-D;F~I}yxF*6tSkp# zUJ3`&%L1ivR=PbRZadi(lt*^Mz+sLAW`29@IAUvvX7|)bhCX`p0ada&SFm>)y_2nV zWQ}!3dN?p!t}1yz^>KO?O1kqRQpTw|Y@-g$_SNu%NpuAH&L-{N4uOntpj-&dRRX(K zg1~DI0cLv$@S1=c`s+s(x`xmZ8#+6Ku__s7_qGCSvC9}?c{s2Z+n+G*&kAht-{VKj zjNew^bu_Rx>pjk6o>H7fidmq@Z-(C1ZG}m`mdQtu5xzfGEokA9uiHMw3e*-CmGZ`_ z`ATIF!G9jfb?MSh1?};-bHRXy%OSm(_~Q!Iolx%r3NqKO%bw7QPwJK3Tf;>*^pgs` z8U1-|z6;IR!iDB;%Smt~h%Za{NV?ys|M!e9Uxy|bO}_4{-+{=0vD*aC4j{xE?E9M} z-r&l3-e#CnEzGG74H~T~(3HcQ0m4PK;XF7IL}Ddd+h5-)V67U#JMN;ft7Nb3TJJpF z|At~`mtuMX#l+n|vP%+@Rg?V}>`NfA>W_-K4IT-Kd5n*win+ZWm0&98T~&ACa;W<+ z7i#4=gF%xyikZFKO!|>F_5rsvj)XuiZV%5MB|hFOalrtsSTp?mSjE}$IygRlfg_H+ zsRW*hC43i-1SPCO^GjaBikMr%&y?i9NHX6gsVgNW^Hye_=wxO%zU}J=jBhi5c?9eT zK-__qfj#qcs{SV>AILdpyRH&S9#b*5z6J(QpWjl+H?hc({_D_|l9&9EZ`_hMD9Hy% z@*Yr7_UzUOROXsyz z>d#r+NdFr6gyf}OxWz4XlahR#B*(fWwbUl_E@lpQGTl-$`ht;lTVVi46Yu~4hT{s& z(ZwFid=3@`TPkxK3}-N$XhYH~^IIx&0}C7J{|VY&@-i>^+AVXMl6?MEko=oVQp;>I z|H;gAolLjPKD*2Y@Hzq>3BdnhnJ-@5a+&=qU{{iU>)e*gyn}^}^pByDB`!cAUVY)sbw~qk1+ExC(|u+m-|dT8^A&W{zU-eDthnMeI;kLTWHh0Rm2Pu-}Kj( zO6}89Z$kr1Ug|f$a!Y-Pl6>P8ki63+siiiV3z<3I$#hG--yBnF12~<4LjZ`G)3{>G z=$X64WJebIK(nt3znl%*`a7N8-(AB?m%cQT_Gy(9Z18aOJMzl0XgbbJMAFu|+1*xT zX_2-qg)qbchoN2L`EIX-45(^Xk7N5az*C{&}5?G`jAY#taIZbbbp<@^*odMJ5+bZ&pf{`p zxveWm&R&r8`adYOs5aO70GA8ZNL1l3<*n`P))^@H{H1b9r-?VpRE9Llu=*UkN#JB> z_yT^cq_9gc+?KVJi)Pipdxa!~3@g^VOMo@AIo7n3Vmw)ILzgS`d_s@$Ld(U0LjJod zfJf4PF)^`WehgxZr{SXDgO>1-Gh>HCEOAz_lv!xB(Zt__{IM?F`%2_II{YjidkEBg;C`fKUlPhX$+s{wVkfzr`Jm3oS&l;1S+%OXD>QVeJFq5*&Ddl)t_!I{TjsL=U@?gD6h)h6BC zHH)q>rGAIjx1s%vLLWxx&qpZjFz<(`#6cH~ML5>*mu3So8d!qA%3Ox#&noISF9LOe zL3KyNCU-q^?{acEBfX@>`FtA?^^kW|(1rK9ZW@xnJ-OM~C|o z<^J3YpnttfAI6AD|5P>(ju)_d7ne}=wlASN>R8-)RkAkjLE6H0W7;Oa2lH!~9}W=}pM{f=u*u%O7}+lfdaqG} zE_bb_;u=9H*escBR94l54GF10l~`5*h>DEiR9pp9l;xLQ)9oiyq>(IDg`8K?1RJ5w=kR+4$QLx3rdO>z?88}22-Bt zm~uGVRQg9MZwBSvbf#?*G}xImSe!{{l#_6c_62WYGYm3_pFa=8xvfLACwC0$0-|0C z)FEvPt{&3Xe|IU$Clfnu3i>zPUV@1RNP!ck7{-69V*Z^d#{mTy9G9=lX|vncFxdh7 zT*1yJ*go-KDGm9#kzNnL;pRpTx{VL(yDrKBh zG0EMT{4SE=lW$cte%;nAsfr6Rkg?|g`Gi8|HiLAm%Rnz9^kjuTxH&YfZT6n6%@F!K z2^|MQKv2Wjss$Rwj9$v&^`%l^kL2M!a*(-#Z2z2fAWEb1FNIZ|&BMgSEEC_xOWNuR*< zJ`O56Ld$F$|Ih9kH)>VxJQ!j}orX*gC4VT%d^QYgEg4XL>{I+=aLy^T@cH?ifyM7S zJQ*4>0F}kIo{GHr86eLGii*#nLw>&D?cL`v3M!}u3^pBbwdlwD>CvkoG4AP!1~lG6 za|Wl%Bp;GCAF9rq2Om|und7*HF0Cg>%b|RK+?!?iINeFE)tGDjmW0KcV98fiI73wK zu&8i}o)Jg%B!}qUzII{0%urbD5G`|wes`bfzXXrRm;G48jYFUhnagRa@PgwI!PZN8!50@)C`|LHk`Myq~3?mu!ei$ zyet~9tew+(u=b8wUszOFBEOAUfluguHu~zPqCuCV_;J^HetMX56R|y}L;+=8?Ie}6 z2PLUFO$vzNwAmqJGJkmrnJ*!e-;<=#;yxhHw}c*r1GevF{1<*BUfEq&NoCZRi zp|yB=&6l69DUn>5X1|`)yS~A^RN+UJ$x!Q0(}N!O1=?j&?bghy&kbBvfHo#_FHn_c@en;oH5>8W`ONg_ti{swx?+?jX+ZD1{F^(d} z=2IP=K**9NpFi{h+n)+b*Yb|uLVfPKz~VMea-cF8L4SqpZok5ByR<_}`>n@8yUeBS zlpvKQ7>E*p95&{NI^f70a;ux-$dxI%sU$Zz2{}>7mQHaB2`f&JIB7|6d=SAS@jVK@ zKfym7>}bPnx(1->F#x=r01!@D!SiiY#28sWH3f>s?#G>ds9mZpHAy)?+7VaIf2t6( z$>ThiN4(m0VP6i&;qwyB)E15>H%G|@NUlv1a-z29K@QPJ1?1;*o;=-}d{k-pNMpqy zk6!fJUkl65<|3$h3i+S;fSfImfeH+q%^i91Kra&LW(TOZ6*`u~Y`IQPZ*mJuiWNFZ z(g)ygmi+eL^AjZ0i`}eH4ZIbGC?7oI2^StyInE`E&R|ipoKPIxn4E#k*>(!b5=nbN zF~f6&lCx!W9ttH_B0a9e+7M+WQ81P^?h)0B$&a)H(LLa@=WITbem3O%8lV|+Pb#^W z=7HQqha7GsVY8-1hNVba)Pl|>^5(1GAH}!TyiiF@B#Hh?Le)H|InX%-YVQC+%|k?; z1l3!~!jfWzI6V}Wd&;upxBs32=vFkv3T;$1|Ltjynx9cQVvmBw6DL#6grb^F&O6Kr zBL`~!i(-c72&Lv~UZlhxBFZSDK+WuGLqU;?f2~k1{+2A~-;{zoqM=%_GclG_)pJVY zV$$eoXt>SS^ty9M$GKJ9X~*}$1BHc0mM}&@r5qdX35z~dPpl)B$yfgg z{@Qi4SVh_J2$)&QOzS8g!Y2SdMWCx4Ak@)?01C?;a+X|s7M2t%bh)ID!rv_U?Z1Zu zMZFHu3JL#6TJ=+&I(kv%$RP_KSd=U$6zj<39L=0>PLevBrI_J4LaBKjy`;o;JPed2 zM3JUoY?rPiEHbEU)C=kO>L;+swyTQ9?!tk6w47^gExe*s=acFfrCJfjx&tQ3uvY|= z?3wU&?HmGb7x5_0A=huihEe3VC%Cu&xRaTikZH47sccRqn;j>L@XgtzC1Ep&l)}np zpF}nXGV>;3QE7+MpyNByn~U66`%a z;BPDV7=j<@1xMVTc5CX8jtJun%&COg@fQR*+!A9F`!MlKCUz|7!;itQf>@k~i0Jcf zh5|#{74#djPr-Thv^l68dt91zKb>*?Q2nFGck-gKC!r#MW2vMW_@|ASKfcAuh{kSL z*se~JTiY)X_ADnO8vColVi?qnZiui4f+_C`WjyP(j0wmrukVXkuDpH;4!)wxnC3c6|tHdFuq)ic&#j1WJfQ^+vs~73`Y_0k%NE0_D=*HK`|%YxfM$Y64AnfY8Wy z0w^3Js1-_)hRqZ!lq$cOk|n?W_fY#`fw4k$Bppfn>Typa-=cC{K^DEiqGUOt*vL&z zfH`f*9N+g-%^Lj}si17}BS={Ki!unR_Ya39#R_o~oL)YhCBOam%n&7|3*=^1(u&7C zN~%{mP9=*S$5Tm!qLNHbCUZVT4$57snBh4>NtNVu3`H=!aELj|n;iq+d<|ikTz3}G zYi~{8xysn8LcCl7R+Gp|lz|8-19ICMGeG48%5#8VF}=}%|QzXt{c>HY&oChW?zrPPu zo&^<$i|GqxaPvCIdT`n*&NAXmZV9I>9;cn++(n$>E{;1l;xAni@3}fE#)ZV_=3+QC z&^Er~=%nC%2>#`-@In;BtE~f+&P>u7;nG38Mh}c76TOM^Km{95u)_o_uuwekSTu$NplkyD zaSRJdAhf5erQHzJl1oCvl46DaCg}`YvgEhzftg~3wrYF2@)1va>aB8oIvXq=1dEd8 zgkpO#Icu0xOy-faqZBhdM<{vQlL5Yuz!xa+Zx0KbIABMctj(|pq=M1|lc?i?7jnt- zU==Q#RR1{IE-P-+Ec%974Z z|2-cc8Q+qJ}J&-Iwa;mT~?KS8Plq)L_(iX}EViZt`r8}t__rB-h zs;Rm6qKKFDWwq$QCwl;9bW7!D<0n9!mvg(tf1EwoSxFcaI}$DtI~cGsh&}oxMQd^T_9FDCQE`l-%t+dV2Rs&jr4rnH z4+zdDL3|fM^TTuKUgH*w4e%=jE>PeDlK_Xa2Mi9p&hKdT=}QZZ^#A{udlxXP#x{O< zrrMfL_LR~%#5hDby*WggVp5wO6G=lPXI_U&n8-^tnHkNt>>7v2DItWAQ|~xb(?NUk zMkA-FD8kxJ2+>gL`~B|wUTd#C&CvgUeb@DUUCdtVxsT8N+|Tpe>p2!S>Zn`hVY*ec z%Zn@ymp+Gy(h_;iOrA~gDKm~xO;4ooEuB+kpDIq@tT!lXn5fGXRjnjzoAd+--@th? zLLmb|_n?QtK+vIRLmM6l>JM!LDix`mXD30<6_k@ISp(foQ2(F`jg{0M@a_Xo$&tw&Oz`_yUE~p$w+kA{6uWS_ZH!j`!Vi`kIc5V z!s>U#V-?AL01RaGKPqot9QiDG4WEYUX|7|etz0hWdnCeE^*aAX6|Y;1+rWhm%pWvN+ZcyecGk7o^_ z&=Q<9CD2mbp@QFX!1NX{Et$(Oi+!O%rV}!Z;^LuZO6g1?j@O3lY~UE?92^PJ@*5?CGwhCTO7!9Ohune0oxAn^DOSiRaiK$o};tjQl8hIkg%wp``x7+{=%>OR*FN^ zcRxc|O@xQi5u7Oup8n4LIM?Bb*gT9amwt&FRiauX$1wl(wul9ChKK;>>U|}}9+)PU zVe(3JQL-Qvp$ySZBHGqmvG9}k1=UtVU}yy?bSbRw-lHGk-;w6Tkcx%AvdiracRh>>Vhr#x5P)LK z;N7vv{TMUAq>C*Yg1oMGeS>|KxL}+^=v@IFCF$1eVEcq%XAEcRBQ6bsqHFn6sg0Gw5+ntL* zxUy>xjCdN!u_W2l30+&e@Wi3)(%ObgPJuV}%DSaRujV(kOPn zuAei{sZPl|!RZ-rGMy}W1(PQu8Cf|=$Z{OqUKv=F!u94DcEI3o&c(LT!Dt^K?Kz;W zPl5J^wL2HZn(L`U9&GW?ocYc+C?u%1dFvM zWh+u5|0o0697P*uXjmiEY$57)@?97U86FT+COe6*~yacVDibrF7#uZIcVHYt%sSN zjP_L0HU(|tb~4O;iTU~7jbJ*m9H;F(L;k4*$g>(prbEc^R6Nv6G&b^AG^4XgJ_6*H zQA>V^$>$;&_6hw%MjyjKvp9s&4kPW}jcFtm$1sm2=BB+G&L|Yeit^x@DLJxqu|(JW zy`%bXLfqoM2o^lKB|NA9)y03{z@TF7(PVMpTt!R}vD6T8#ES-6OGn>=24C>zDcP#hVf$UL0j_!yhv4jqxl`kVO^uRvcD zyI9$EA-iw!F^`@I%aDqd9fHv}$k%Y+Rorb8fcxf7xM&>=VHFXkrX#?dvMdWFb*0Cz zTKUZ)`Zek3+Q1otLxiZ0AP3q6^mL-#_@*a!+=fxgWLd!e@2im0$fKF@&?Pq-ePHOo z&)^4&yAN?!cJz$leO(yzFGR-fTS2H-B@AIT5gtlMNM%1Pa+&Yl(0u5v-&veGt>bLBb&0;|TqE&p5Vc=k7m>y9bzHIXwNCTtu6 z&#Aw}PBu;Hm}){|B4Ha3U4pnV!RHM3{X&kSW+8McnajU_jcq$`t-8UJ_&Rhi?tlhK z;NHwvYhdXVj!n^oC$-Fiwm1iEVk^{GYf{%KlVN1CH<$pvRN&b<-C}V^dMIQ>T}bq8 zdxS!JgeP8r5BK!BkiF0~axMf`kB3_0MJ7Wkk~d?hr6M^>R;Gt83@VdUWoq!f?8d0(efm~N=`+rhPOT(rAAs+?MZ$8CmX!0ti;l95+ z1I6;Y3RrSG1e8z!*1-V23zk@f!3nX1u_z&ne6rXDOKefGXOX6LDqq?VmCfahSxWi6 zsQhzC!+OmY=rOQfb3gtxcyL?!3zCTuWr0j?S83}o0^?3=C-4D)??NW8@G@yErjf-^ zve-G3(s~)*&BQylVLa4`ojhZQYIriqwzUO&uT1F~WqLq)=uO08UhDQEo~3o0!9;X1 z;sa49E}!EuG&;-OHc8m+29DRnL&Hh~_Gr%Kbn)IFV$jQ-L}~F*Z@_oK9v=*{LN^wZ z$l?sL*x4RCWim^}UO<|TJ7rRDOA39AfXd5cHJ*{lR0V3K9CFCvlWb9Z2Jy2k|1#$7 z?@4K`*c)yI_OrzHHjk*SVyE;-o`X*)e?ejD1jn-iO7vAF;-S4u0w(OByeE`Oh>0FE64U!ft`r`MOd;v0RsK@)!=_&VAOq!a3?a| ziRP3o#h0|f))6*MX(K|L9ld-3Ka9}Xal%prbyPvG6Zr;@5tm5Xgk=zEGLZ^=r2nG{ z%a!P$bVM^IYZKsvvnK>Hl%skaK@rK_5rg}yAq>)vkmZ1Ya+)KxARZbaEYPROvIu<5 zv}P}jxi+a0TfgPVhpvm@xLvq(5VWwh5y}4`1o$_vSaHBi1`iRwkZ^3yaiZB$ z?gmTV4A?VDJ3wdyC3CqB28Aj=WA?Lay0K8VDl-}@s{(a2 zAxoNA3Wlagy0oTbZhJBqv%4d!YY;wQgsnCUkV?F?^jAtw3O8h8eL2C?q zx?;~v#~#xzjp>55O=?5pEW!P+Z)bzQ?SK6>ZU1Z2=mNJ1Vv8owxZIQ67)yk$<+3ek zp~Zm9-4#FmwZrXPz^E%na>~Dq)6UQm{1Y=X?tfb`P9sDYm?H-==vSd`I;JtZyx*jrfj%H@jO;Dt`CCx@Gjp56kW)-@?)u!o+o~uWFu2Pqg z`jjBGt~-lIy)4-Kz)YR?%&bu}oTu~=(l-IUuAfVu4BgD@(STo|NV!B>(}F5;6&t^E zXr64TVF^vtrR?4+^&`MWT(e{@A2Y)JWy!kKmWoCIWfjbRqHL`Sv!)_Rj zk#uZfCF=x+I=Mf*@%qsm^#gTeEzXs|f>~KKD@)9AR52QBn_E;3>{(y-!UA$j1w;TA-6E9ZlF1!KO9iK((5~9oc z6S6Wv-RU@_=E-|_(nRSuWYvNrNvJn#5O1Z`o1*_URxvJ9k!Mk4YZ0l=&+o=^XWxpl zRpYYq9_s>ua8N@&kjP&(mo8w^e*#^A!J801U*RJGmp+La;={Ed=JIO>Uotk*40ZRs zh=oyQ+Zq=}FJDv;OGX#0!;Zvcc0uMk`TU8G+9XAQ^AL3iV|eXfa7=2ubF*-{=IYi_ zP~>x1#=PS`KI0rt1e!oQI{^ZSH*@@XjGefg(3{=~|9K*^H1 z{L50lT9sRUJs3Yk#sb&f1_@c@s-@fu_<8akp7f;5bJalzYAt{AWcLTk#`SC0wWABM450sIN*`sa4{ObRjxS z{|53cv856JG!n$KK)e$T#zXAG+)x)2bplc2p>C?CGesrh=-mOx(>JKXUq&;3hI@b- zEA9GYU+1UM1>a!;1N&!x%wDov%al@pW!1CUaLv&Ll+Nt`u99i$<7x#^@6B@WuKAUj=ibO|1E)H8mU^(7y-eTg1TO&3|vO= zDLy!g0fhQ0aDoRKJbpp2+A%I#aI=U@nOk)GJQ}|hbq|)${6oxIvH|;qF(&nk)x@vA z|7%CG02&v>wt>qdi0s%Q=EHxzJu&_8oRDSQ>f3zJllSl>9wJmC&+u)o>-Ky1BF*uu z!;z)yn=;1)r#eI&p7VM=lF>>so+Q0M0)1*n2aIU&iwWPiL6(>+Go%xVw517|ba+OX za2^+JI-91<57{~`$=pL)CmAiu!r|XZh5-(-!0%b%7dltrOEUIYlAo2C z>R`tz>U^T!PE<6*i41l(X+g&c0rtZw z>_mPy)Sw;7^U`_875imk4^Lrx`%ZOO-XCa~q}UUPeNqZL>UihY7{Vn)=u{8EpD;C~ zJR;R0g0;*G7a(zZICFF`OOsV>OA;hf1jrIfbw{$4C6hAOJ&L{hYG6N-wzm0}o^<@>Oq-9@z1h$h3{mZHM_9E<$zewACy3igUy&UH$w8kFnWGp#Tm_8h6@#MiNfpDj(dkIlL@M)<_Kgi#jk~2| z1J*hNR^$9$XTaLL0qet)IW>f5TnUeSH4EnJzbdSle0qZq*Vo{)#geaK@*!j&Z}a~3 zVh-M{d-?Ck)z4}e!#tUo+qMbjQ}r>Czn%j=mTM<&L2rxRVJ3ex*!Pb_`tcsLj00+* zFjlriYBG~-!{CE*af0U``S6cT;uz*9?sBwx=|^Og_h1_rS!VvzUevLeX_@A?;!3DH zK-3Lw9x49i;Py9Lml8NA@Ds(qg!r9^k5d6DrF;;mv|}(tqHrmeB}na1Ug5IVsPWhFRU!Qb-I;HUy6!L_-ihLfC z+Z%Ee!?*F!V6$(}g*FqykqMBAT{b9LF3HyXsdjM&j-nZz{2~05&I~_5<$1umP}C~L zkC%hu1*6bC(L7~XZb&=b!~IEd7ZP{8;d)j_Q*a=~c<->wL=Pn)9SzNmdo%4klVzF7 z<*=JO{sj8dTAXwpi>xmhyFO#gx!xK%?0+4uZIR3v{I1gbQF$3rs}&ZE55m;8-g zGu}am9I45RtS{P)-d+2O+d(9`PQ;BxHgcf?C4Ee-!0Do(q(4;B7necOgMSG8Bu~=E zo+L~cpiU*+6Gak^Y1v3gA5+ppy#Lcbiv*(%IOW@%DvReD#Cwk?>t19H$Ah`M+Rf=D zWNN4_6?HIC+ZyVU{H~cEyp_U_Bm8GH;>g8xiN~|a6sH#Dc$m8@W=mow6m!~jw5*4j z58AsWMNUes@J=R?J7!{k;E3|7@&U_m`8Stc?Wx?}xfI-P1veyz!xP_ur{ih&aLJd* z1D534H(%h+C~+P`YEGoZJ0qb8y722sXi=zr5EvvewhM<{2_FiVq7TN= ziz{shwCQz#>hJ+X{Ej^W78RpT%{PFi-)>D}DE@Tb+a5)N;aIZqGtL^SYR?}k-FM(poE79`91MWg6 ziO%9FG^+JGi=mVC&=&tz9XY8{j#sKg7vUmr*hjpa8I&>dqcww5FNR`;su;$|aT4cB z7}P&oX%9>)p|YE0gzy+8yqJXh8lePsl3#=NA$0q1lHbax90ONF_rYjZwH#|$jgOwD z{04NaA2Px$627~Ogp4;)l*p2cmi=5VheNSSbQvzg3M@af-BsroE&}tbedb1zoQU{g^VLv5oNy5Z0Ue3Mt)1VuN0{UD4imP7gH8+O2keK5e z!L;Vaj_leKmjts9)&tCjqn)XQdhmh8`S==1o!*_#kAx+W&*&Wzm7k?5pGQ&cRa7;% zn&W7+bd2uA_~mEG6fm%Se7(dHt`k|0eja8)NhAY_lS<^63CH-&# z_&g6jTxXAv+>$?K@_l3<51puF>{l#ul}5=hXA$!n#mugcNvmWHekjcVhWP?9 zuU5=(eN3E<_F4JBuF~ynqXE$E&;Y?mBMK*|3@*iT<*+_+;R{vC(LiGOox= zS=h>;?sH$D`Qr#>_B5GYrp&5=!$sajhj>Wf0z>vLCQ|Pp5*XFK0jwHENJWZu0kJx! z^s-yd(5vO=YP&lIgTBzA%42`C5*|RpFMm{*0CqNRJ6=0F>S1Bfno4Xv4_K9gH4KMX z+MP-xx?9g-Sf3Elt%>!;D6X;G+hci~3ciCZPY$re zA(j4@_8p3I5pniW9GFqAqRT=C#2K(8i5WPNI9q;@Y_d2;F?^z_1qsuhMCw4K6^4Xu zwMWX)Oh;C*0S<12o$?_DNq8c)e`{ba+!1Z`&ZmrGnIt*CeJ(hUQO*bp@(<_Mxp@Yh zM&M8Yd*M{MNm!DVz7j7F{jql{!N2efzv8I`x`ud)@*heTImVx5uF;`EdlUNSMrcwp zb}gO8(mON#Yo;TEq(Z>L4>|TYT%CvKDOE?~kh*YLjVz&4nNwBf_l2OI5ujF?23<<% zodIZg4!M0ZSy!WFAQKRAA?RH^dv$}cT2y9>4yZVa7=4>PP_8#Bq9Q<{ zat!(gp*IGgJKN-O<>L@D8o*RO1|31@VT78#mR`v8c0nrNCg*a+n%U$Dm2oJs*Ka_2 znoSJag3u*|nhZ<-`5dIb6rhq1!?jKZVz$!R0Vy+7$^zn#3*c)`4EhwImjs}~&E=`P zrtH(o=08L{A%LiC40<7&@_?^4U&i;gBBCoI|x;qV9>&Ml2?>bAyL}}QH7B~Pat&j_bH7neSfB} zX1Z4oU=*Gt3^&2vIo~X=sgO+rf&O9;U4$5P1)-AzLM;6)rr#2v;^pQLxE8-zxa54n ze_HZyxb)_L#5pQ)9BK0cv|82%y@b$C0jP0yaUnM%3M*3_xz6_KSR!xwE|6DI-k==_ zT|uaosipsQ7Si7eQo%wH(*z=T&#-n2Z>fkHBF7DRNq!fOLAmU7-d6Z*!bcDu5AAy; zV@v55P@LHw<~xdcCo%gPCpqQfy<$1XM)}QCtV@X1DW%*%1UWi{CU=+BNlb%)`LHu2 z*L=?+O6{tO+U$zjHWjrkQ%7FdTtx7c-D-Y=#AL-oFy7SDb-50Lc}u7+AD;uTxtLJ05Bgnvow%@=fdUeIu(w>JjB` zwQ74RnKl(|IjhW_qXs#GkmNU_?Np`I4X^xGNn2-9UWU~lI2T!dWGCrY$~;*B7SDhM zw@>LQ_X^>Jv`lz0p3azx67tY zY?!FgPpRdY9pLAUM_a3EetJ4+?^B+lzNOD&`fb89pnf+`Bg2|XtU{BFi>7ke|9no) zuoJ#4IX<@WV)b&4k4f`qHkWMC)M*PN~&F}c}$hAL9+>c zKM3_Mq}Af$-MpKW(YO79`Xo?!H}8{Z&v+*IO8jCBnP-9zPW}%so|S%4qS+)G0V3S< zgYviUz5~66%CPPt)@gzjnQqpoNWRw+WLP(i9vcOm&595YeGgQ;aak@(N)nwZ@>Xva zbKw|SHLY*}LlKuwpeSJ6ZCG{=$-@Q(8lCN$y$_>Md=jST zQkB^t|0Sdd5KtZ@lxVu6l>2v;`4yfMo?KgNtqN^^t!bs8XV0RqZvgmPCj-?G1HZe0FLE=AaAZ0HhYVTL&o`$Y z;0nV$kBLRE>Sd|q?j-#MheQgFFWI0S3H_K*Yc4E(M+E8b1gSiY4B<;6Jd%dMkPdm1 z7`g+M!ur3n0)^8`6`DcHOF@}(IfL9q$Z6z>`|r*q<*pP?X(w|z%o1^ zT*jpXxvcnt`ZZ#%O5|Eb%sQ$@-}D8=bfLg`K!FWSL8N&^y3I%WKibfA7wqqCXyPJ3 z(+mvF6#JYI-A8j(i%NH9eakn|8B zUAU)b$n1R1;`rNjH=?c|gc(+z5imop>M)q>+k$<`+=1ZBp?QOxNXQoS&7hp7l+G05 zc%$?1#xY-c3lmevD-tEMwt{(LqcXk%4%@FOw*a4nzhHKLP>my0jraRN*j*5o@>7Gn zM#v~tV}GICm1_OsUgoF9Wdyk#MlOx{sb8ee4}iil<`56Pbb7#I|58;CB&)AJ3#w|6 zEJEG|1eq)n%3V>liKnV@S(pzlPHt3Cn{woO82;K{< zXJ%5AA27Zx9}P0{QWjT`czS$Is&hD2<>rv{C!Y$rC%0%{sTwkSk#N89fQkGusFr>U zc$_l$>|`*w-@}ohao`xQmE@Hlh%sIx&M3hN1b>~qX4|mh#5&#Qq@nN!kXVtFuW_7% z9Ss!aiOTytQnd$F%Igf$n~*<0p$4JngmPDk@{x|_b;f1ON#OE2xinIg3HJgtAUtWa zdo}t}?5N(zp9XhSA3qX{B!Zunr)VbbrI52AgrAkS;wveAE?_GddY_d);aNT_E0SaQ zITa7Re_FsQXDGuyWVrp~pj8ZVC?V?s0p)!{xhqyVU{AA(aaq?JT&9srBUXv{U2K7B z^fD=i3x#%#>=ow_W)2ZJ0*$cB%=0r!9i<*wxXnhut8Q>!4wh?ZS5!JsfVumT~x$(rJ>5~3X&WkdZoF@RqNT4aald}Dm@>1 zmB_2Ve(6aSprPF*%56__`{=`BMY%5^Pvz{*L;@h$gWcr&mxP~mRHa1d7Q0hwBd?(DDl=WN4nTVr-a+i8==q^ zgf0m}9lF?{F}M_S2Zn?SrxJ<#b2_6CP{9G2`a3VIcGywLN1r@(p#LtadfwclZ zwzqtiDCcv@c@OYg(l-bD-G?zIl2Of=!jC8X*Y|0M2v~cOl|gd|{UiuwWQ7G8*LiI2 zQZ_#w55!qPMEO26=!b+(3PO#|!M;?9*b?sjL8L@J95iLCyH!*vne_?ci710!Md(36 zs0LkGp2Cxq%SpuBx{Ucw^UHk1`yT3KMcsp_HG(Q8;xdVF*#0}kn_^ctO zmP#al)DI&u`@@PlpQyK|c-mmHL7yP>q99bvg9uhfiXS6G#}8;XSPah*&^r_ak4?Dm ztVreMF_n87IX4S(Mq#D}wCy}#y?}O_GT4m_7X44;!(R$9^p^@~A6J~Oj|0x*f)fa6 z2YW5QVZB4FTYXLv(0T@aLK!_olye0ot&ko;q@J9r-&#NP4m2JwE8V4}+YfZ9#={_| z5z>qtk20fP0O-sEZ z#lmkrC)@GXej8pZ;#D_@r#(s$k0le&JEaDm7cWGR#rIF?jNh-qVzuNq_}%dA_as-*XHkp9#sS@-)aTgd9hm@comwtDV6OEv-C_%hBZW zBR-@4xjf%djdmOjig$#G6fI#d6HM1PA> z7AlVi$zw2hq;hVMv4osR?l}Jpt9^H^8(h`Ga&BBsAeYUF#&e!tvlTuMIoWaw zQ_V3u0CF7SFYmmkE_lXi7K*@)3qc!SF!?JOiI1Xm} zut5_uPEFge^n2fg0M+e=prW*DbXQnrCT9sl3OkRm_6#_ryC?+DL7YUB-I99lPYcC7 zl9(Ib70lVuxLi61sZw_jhnIScTPgbXqk#UlqR*85n&Y^iOY}S)i{gPU(|zp~eL2zZ z_PA?rRxLQG*}16%d-maF5wJ)yiH#(%<7quoWgidqNqcL>H`q9E7tR4H^?XX*)0BiY zdjLmAYs00}vS_@}2PcpiSB#50%=9*hGAqcHo)mGIa%)9y)r&JSSeRQ7y5e_oN3bcW z+flSXUSd3s5G3K!=IDh>>b8eV_dqC^{FPy@*`~|?qzo~6>4jSVQtmGv3GUZ|yOtE7 z$dW`hhHy6#`qx8HOIGyH516N*+vSLNiW!Kw)>1B{cS52M0xa`Y|y+T`evSmTM+%dnz;Ng4D~LiY*Pg-xuK7iqO&zVEUI#cLElFCCmB_!`gZnuqu^WbhGqtm_A*o*&f(=fy|7p z9O!FH1|G#=V$^wT44cYWQ!T5u^CGI-W8`vy$HmW~LB|u?D+u*!iy>S@gniNwQoDPW zHn*G@^3g>8u}bV9IkEJ;nEol#r9QxC`sR3Qo~CO4j&T9^H9<)$>N>>U$s?K>Dg5JK ziBwS+DD7LMy~Wt?T-0YO*8Rjf+puI0*mMLBvB->)u`@ElrF%Bzc9lmnGg8{0rG!IC zm}7(~A2DceLjRoa<&8US9{u}0U`E)CgbQ|&Fy(hu(DnQ#B2HQbT&jH_l=jRyNOgX; z3z%QwGxyp^gT@Fw)rana&PF(pgl%?-Fy$prh0IHgNh>m`o~Kzbzef9}YiaKHka;0G zs@9i>0{IalTa~l)B}^|-CaD@>b;MgsQ-Y6p`dU(se_#WnOIxM< zlJ}v;J5}HYEg-ao5B(?O$yP8lUVo1V$6hyAEb{lLe%L0}>s;0MtwW&EEk3zd*am%+ z(DQw0qk3>*K3d==@ZY@13~r5iG&A`#wt;!pxj>~AkY5X*pWWDoQXjM&q3{K`i)k}L0R+JlfY)#kd|e@W1}pi?lXyqX$`w{4+-}n3@%k5 zD~LoQGn1XSK&f~r|6hzw*jLTO+cA8LcW@Z*NVhA-9Ios~ko{rC9);gSV$L%IUNS-v z`w=mdh&TuHDCI9KeHxz_dDlr73h$$9d zUH>GI;5Pwwy*%p8!pMa>EV|Q<3>f-OmHhTWkUR#G=_jzUCC^~;rBn#_;3*lsu>K-U zS$An9H<08gkjMlXI-T?hvT;YGCdh8Yvo}G;-U;U$9uZJ&lB$+VhHKvRRkP$4OkRRy zkc}3y9D46m_-BRZ1kudYO4}A&%?XTt>4BiXlk}Jo2@s%^v%sSYMI(XVWYywfqMxVe zRZc!noi1I3?#@H2P_&zf*3HlY$0vM03SIDh#K-!N!vmR|qI7wrtHWoT+24{6X7V~D zgRDZx{yvij|7n>t`t=8Z{t43meI_4JE#4sdjf!3cL)h4iK_4V^Frj7|OCQhlUO_6y zz7`DOA|mXQhQRM#NRahMx1%S&uK)tlzYxpEw=6t^h!k!l$=4=5c)8oR&tj9F4OM_ zQh6n32s4OqX&OSXm1+Lp5NF`4>1p6M{MB?8I;9F|acofe*H!sv_k)xxAjOoo zQf_f@mx-#Q`rRV0J#QX%_$yZ-i@+12RZApMP`Z>UD3oNm5qaVOFHDmvn5`=S~E z|6|?G&$T1_e#MA&GR_7*HPE!^kbtu+R(2T+kIcPIEd(LU(w8Y^WqXA8bT8y%qN01ZI-@aZ=^qK zguYeim&L_wubgOZJvS}DAWrf-ZRih{oLMhcqpD0L$3k#4gIMweCZ9w>@z4(k{j)(P z{9*<%`aY!JK3j75cLw=TwP;85<%*8Xc$4BQ6uNmYKwk+$9Sn;FCdI2{Qd|O?kCbEq zNyZt8Z>RyFN~^?2VX$5ncU2_W>Larml3BCSYGqbLW=DgW*~pTIF?nyw!2Kr&{gLz(3 zE}ASC+2ua!?deBbh0FhiCJko+5sZ8#4`9B`9KWeKuFQen2U2h3Tj7E87GicD4ceY9 zir=01zq};*bRBQV*MK<$egYsv&!6F^_?_GZQIm}F9)biu+`T7Ls+#a{u!$}4jC$d+ zdHYL6tmp_XlT8Ht$0mCX`Z}RE5NcH0l(Yv+ucL{EQs9qHBH0v%AR$vgByH>Xt#Ox%H@UGN4eUdZ7`ZOkNu> zNapSk6z{!YPmqr@@+J9)`P(6zDEtY+FEY3uzlNg0@oOxGy#xH3D*g?`Kg#d}UjW}; zkJ)yJ%|5ndQKbW3I`8+BAP0BPqZHz$tuZ`+g zOw8V%d{kQOdQ7-CZbHK%C#1-MIXEF@CstE^bg3bE@u`Lofmlh8-=M%>+5RWoGO5|r-oAiUe=Gg0(`kHI6%kgS6m5b%E zD_OiLkB9N`C3!rYk5A$8szm+~BJcsc;Jj61Q;s)(swy73RbTTBdab@j?RBWW4z$+- zye9I8*xN}8yu@Drh1VtdSGP&!IYe}x^vm(6@_qR-9?0`E&=R|w9G;BY;Zh`H1P%zR z5Y^aNAI=06>|(*j%$U1SvGWugB4obzoc!{!TZ+eS$tY@G39Jme;sWcRa9@d?CX&KA z0GOFY?eVwC=;rvm1^ymN*4m>clLo0nH|K+{Rnf8d+q&pT{N1f67k`@J948yIkcs6gI2=92*{OiIXJflR2u?FxOph4aN^+eY-?s z=X8@sHIbjMK+@-H(WdTY1baI2t@~h#n-4iC2A)1Kwj*9HXKF^gJ$>ptJY)73cNT;S zB$?;Dar}l8?0@c!Vs!8y4>6PptKz$)eAf{m<@=eTNI2Mw+Tt7$ek{y>7N4cIQ(Uyc znT;+p3cgPUiTWzhZX~)5M1Wt5$j?F7iZL*Qx{R-fDf9}Xj(0ePcv#`fW8$Gkyky&>#&!Hcf!VDl^ z-Z@+G(0mX&8NE>(#zV?ED&<5&(_I~jpb47-Gr!TWZT%?@pd5J3b&zryWp+8_lD;zkJ))C z1s4BB1p=pRVh<^y)N?fgM&Ex96&m%QeMA}YpKM9y{(8@U7UrlCQ~r}*F8=cz0pdT8 z8%ofBwlcgP^>lszK?&RSh?{UdVx5aCOXw`af*j0U-Xw>kzya_BMD?IEJwj-C zpMFL=me5~jkhVjn@a7@#%obKw#-p21`|v+&1eQ;pofYu7|024CFTe)^9(NSkJtgcy z4+w&&V*Z#-XqiIC2vq%XIG)q|@s^IhKki#9{&*!*cJjw#Rflwce4e5E{wk%NAYg?8 zE~YSdbqLN-@UOYdgSzYYc$WU~OD56{j)Y8e*H6V;%>Uw{w}md{tuJ^af9@&X`Yh4w zd+VJ-7y>A;ZT??;5z&xN1r)ahA+y%g*kb4#yAMd;kyJ3?}dmzI#yekysE1mz( z?g=f3AD8X!0iWw1+pT}>+^n>FLbL6w&hw3c>Qax*od16*tN9Fz+^y4DGPuH2C^a{0i`^ zH6v8F_KzJqD~9C4N1 z!UBYXQ3uH|i(cv5M@}^@h^;7yeNOS8Gb-@=@LU*bSNSkQDL(i7U+|%e)z_#0|23a< zeKJ#g{RAU^4sELrX9=e|E1F2@3&NG-#>%X7<$~ld|7(FjdHAH zZZIE9wOD*Cl@D<*nR_@|%~W}1d*#(AJ|<9i!jFf}4og+ks=Ad@;=LEOMIAcqQ8Z>R zKuHNY4DuWy*?=Iz=_r(paGb#lQPoi0G}8b2d=aEyH2&8L4{!8(s(MF%obx*fkbPba zuj_V8Hg>Dm>$yMH!CpLb7Jlt6KvjuFR@(WnS#Tey-I~hX^azLTSK@3{J5XLe;y)gD z3(tc`D|OB8f&8{m!jG~+cp?Y^@2_wixSKvrj;17wA2gT9WvimwTY0^Ea4=Jj@x@jOJMsvJ)E7#u}9?@PNML75+$uaL+Yq=aIIv(1sobkhhVC z?&HJ2W=`lRJoH>JzNHg7m`@845*po|r2F9^%4h+Q^gaff|8TjhSjg8&tuAk12ZBzv-sDsp) zH<0DZsmwWhrTiSHaHCe1lHr*dUjK$#?><$J!QslF8yP$pU;rVTAY@a<=p)F}`p;JG zO-jG1wuO7a^`eV3`S42g2(l6zzX|t&>nPT|kZrx|cC9D*6qP)u4J3C8N@lF7%HIht z-d9X&-O7ZGGue*BV?_88!9XbD{1KwHjXajcD^v$my@V@Qa7v#@VgG!~jq)RM7+cD|x*XbrTp z4J|w;2i(^j45E^%oT%LuoG7~*?W$^~w+A~@m=X=oSg02~mQ|)DQQ@kF3WdjP>-U+t&8LvNMObD)&?K7Xe3u2oJVt@V(&-@@yZf60rW0cw9KC{Jr z<|?zb+%?g-f>?KyQm}_I`}QE_+rQ*UuBnRmUfK!<>h=IZV~c6ui_~zhFg*w9OCl9K zEKb(hI{5=p6lne<#rU0$5@org2<)nA?m7U-$ieo6bE}TLV zESzS|9fE>u8I4_l$7nWQQ7osUXS*OrC;WzV!8^EJ&?TVyrK;lYWHwrurPRY9|CA~= zdbIk^G+(p?O`n};kZ)u9CJ}Pe5p+w)4FPVKsRnlw`PchX7NCKotuln`h_E7vFl3;= z2>s#jYy{hoPa^Wuf()0I)xv&hU7-T^A<7*=eq4V(aG;u9o^vbkQXTAndW^vsz=Xvt zs=%aKL;cYRmGVXlNZD5vLED875GT~PIqDXg(?h*>4#zF99>$2f={}l3+PNOlSg0d$ ztvS3=(Jmv}QbFsGK`^mn1M@w!n-ndNXis|Fyoed!J-dMfyAROvg%;>DifptJ?M9*z zLKK=opbWSSjH-;#JxHn_HJNf_mBG7NU~q&d)ybEuwF*4d#wpTtA~jD(f({0~gV5x? zz8N4Kqdv}{d}gxtIH=u{n)Qs-jrJ{ghcZ5mq%WmNK@wUM0arG%a=zl4J&~zKUe5jG~v>FI8lm^TEDg0xXxOuxyq=5jJ8ZB zR^J>pdWC7|b3OF=ihe24s}((0+em|)M#xJZ#3_U}gR)R|1CzzjM?3}UFH$yp6M2Fl z2Xq_g2{WwC&44vDpqo$s1tEP1u|-5iv5@IqJ&KePSsvcID*s{PWeOhrjA4i0;rtTN z*06^Y`=d#;k&lhM81zg+-v~gZp9z;f7hsf7u?G?Lt^lf9(4Zkghx^bWS$?6NfSWUA zBHu7qH3jA=#I)>N`XZ(uhIHIeQysXWX3JOU^p6m)3Gn3l8QalPP4{|F75xtp*2S6Q zK>5t}*cjGeV!bU`eY+r3aPdjdB$M@*8~6Cx#oy3R8It4zqdIv7YB18*vNm`7)1I|& zdX{+H)-Z#XBhH(6;J;#w$zTK~vXU>+N(4guHy#XY&?MhNI($^Z7%MZ1hJjLQtK1}z z)cic(1e&&mrX_BZUYWP>@VS*JcCL>f*U5kB8L1f;_TRu9J0#f+6BW&QWM%E6c&mxV zLfdw<%&2XLm-;#)1+|;kP%iG~&o`%9nsN81w=_*zJ>$JwQN0`QmRtv0n$Ac^OLHoJ z8f14r8;cL zfDUJy4#*Uznd@56tuV%_-T-&#x{y1Ndqyh99}4(NfwL#6)SiSs$({ti33oAuE^7CX zx3_%;80Sm%E`2WQbFtsU$TwmYzU1Kseka34Kq$QdRPOb=@Znsz5ppjvy`=Z5Gnv?$ z45cMnO1M!Do20%S8=WVhdo?gW@UM}n`2p+0x=8PAjX}PuHD^Z_zW<;W<`>Y$wZNF7 zRuNS5QxxSsqWl>Xl$E$)yKHH=42`1SKlf9#;Y9n$(DZsyxfqiYFrM@of9j14U4LN8 zF;7=6hmcDgT--7D04U?KJSj%H+%puX3309wNs*Npgh%bosJ+cYI#ZF>YzI<5L&7>p zw+s38TvWu)`Dk!Jl{tey4OW>?0##;^GQ67%f5lfV3TP!d15SnE2`w1Cp^HS@>ItcD z<&urGc_mbn6CH;rr&Gx3IptJI=M3C!bAh7lPn0_q1;vu%UR&X7-~CYlQK#bkX|PUp z4AhN_l;H<|fnj%J2<_2_(-4;nt0SpV!-iO<)l;h3rOK*=tTs#(LsWwm_5#ZIih-{n z_i0?`fDq3cv~3$h*s*7LJbMDbt7=CpySKK1-2tL07o^#RxCVTTz-EmAiwXSxPMSa{ zrB>vJr!28|Wfq>YpvBLnOQjaHhU%yVd-11UEx2ZXsRb^3)-kGCF8NOs{_HR1a|pAE z`iyqzK@X(4NB=_)^xL8AwBD~S>V$5>M z4C46dvK!>p)$&AaK(TB2M2<4x8Skl9319Cvk6@RaKB5`8cq=lnq)dEd6Ta@d=v)n1 z;nLuQ`!v2PtdvrzChi%Z5X##B|$rktvJhZP-K-d=~yh*4m% zr&ZvOe?nj(1;#@c3Ow71yu;D!ei*$*1#=gc02t%PLNyMbz&TVd$4cB6Bst;k|A){P zL-DA8O6c?YHiU?GC?du`WJJi0K1r1&^0m-?cMG^!3;VokHkg{dU5a$;871T};0Xjy z1CW;&H3LEVeyl-|&%Fn@#X25}P#L+s2n*3b2Q@E&Vt}+#H93&wuDXNSOh8Qm+VECA zHTQ#>NsUZHPbjNV%Bou5I0EEW_^brzOkrxkdnLyo{hrG4%bMfM{y?Ud(p3H0xj?* z-R#cYGc%HFAQ&dGx}jY@Oel^#krXXFih7Hsg<>!$ zD%|ginPr@y3H!k9^CFA6yY-#S6gap-3Fnn zJ1Ul$fhy>Pwr+2k(KE6*Jo!-C+zH+E8!Dg-eSM*YFlr0*{ET`!p^E_Ir+KK55Bbe{ z7B0R$1nO~Z=Na}X#C}e(yAcTsGHO7uFP{f2=H235F$adZgJuY$;X>F!_G?R2jSPAwVLyre@9-{6eC@HokP=$-19`1c;Ix@G04C7C2Yv49SY3I< z-~S3$bCD4ick$Rq(G?|grFjuujdjeFPrsRY^{DoaYhZX$c z_Rv^iwG+A;Z`co=2o1#p|JCA?F7$w+KA48u(?dPNP@M=i($XpaMLZsz7wXKXc&H_G zaIl&m#}Zr&8vzlUkYtO|>xl@KPBZECyvVHZBt{b4$OD^IX?5AXDGV9!-Dfk5I&+*F zRfn;pqRe7NnT3jCczY4A*u&FWf{t+j_8X;F5kJDrRsm~&1>tE*Sef#glc4^AD9~uE z%$oakK*XCg?0SxNbf;!sTz~PN@Q-+?78jFSaes)MB4rVDjKu>=JoQERpK zeSRAyNbNgtGizVxBZc*mgN1dyR#Y^b^D{J)`&unh-&grhCI21N82qieK<$LP00Xt2 zF8e9&aN>SsxPEwIz_SRP;{#cZOkb@=kNoJ{bsnox+r3eZUdI#ND|8#9H`(!n0kc?W zGYTOZ>+l9V?Au15Itf;n&C{Stp2f2`^ORu7v%0= zpul6@+dyJLtoQEtaXMAO03seOZz3Y`g1)9Eo`Mq5Une4%wG>5ziVWRZk&pYw-fg&n zEc={_biN<53t~r3!H+u)r!G}gxc7X_7<91{S&RmW@!YsUIIm0X9NP8+i^JN<^R)Cw zZbAWn@*n7fHL(ik<}R*4=29t`$o-0?S(O*5=GrH$0enLY?XE|u#Ujf%K#D$8U)*D{ z^9i2|mu+E5a~xv`pG0QS@p#pE9%seW$%#k@A?YFs@o{wxIuSQ2=1_SR@q>ZKyRjMf>{zrZkZBIDlM|Jvxk+hXpg? zzRHd=9(u%HZ(&p%?=YONm~QV`gL#p7XskgO{3^)P(ECf%f(w~LutoCtW|BTWAhWa; z^7h25(r;g6eEtom&>nP=J-g($g=nP_WQj@Jg zxb!yCXoby3auuIB#3h0JTUP!*vi!$rAfE?<^gzBl+{4>M+!fEZiC7kL2xkPz@z5Do z$UPU1K0yRZ^@A;nWpNo1;Yj;`i~QU3eQWfY!->gvSsjF%p6M~^^CxaKMk5+5l|rYfMt5mkgH9=_&1we)M2?I2FAz6QGoh!c&93c=Mt&X4#5m}O#v1tx zv+-eA0WMW6faHI$stGT%qp{FS8(Ly73I4gS%JLv?+`T;M`Ao?DPYZyyZUR0x1;<0% z;ZLkFPy|=m#X~1CYcSt7^a&Uy?}VhoEvYFy(7pdKRGGI^^z%~m;nG1UQ76<9Dqte+ zD)(yOYK1+-*X1)er3-S2;fWNl8&kYw*yR`IKYrwW8)=4yI;6K-N#%N&bkI4fI_E&= ziI#L0JJZ%F5hox*MyCr)v7)vBmeurl=m-I!24ci29@>v@K=P)Ja4#0DNJ}x)W?NTu zF-(pq&sw;1=pymnS3qa?*U{oG0*oyz`8qRNwxTGjz`3w)ce|zohI?nfbT7MLj(3X>b3hz9ULYe$|SXKu&oI3Qg6S1mnzuD zBeX^6JtByGipIi_XoMyCDY$?dvJQs8xsx33gGzYd@;6|dwbEz(RiDg z5D<-bO7e$8G+#wy2sOTtofQavB}%#iPU(KT8~$YA;7N%qk{E~rU7LqsKj&yXto{}c zEqZ=%`*kqW&BC<9qr!ASH1<){Kl?L>ydDBC4nhKs+Ax+s4c3P1nn-OZChrVXhxvGS zZwCj_0U-{pfI`o*L0E4rG21;zmOXR9bEv2*G&&3{w37+BAN56eK6YEvqHOmaG&5)u zY7&uc=yVXkZ?n}?@z6dZ6yZKR=H*)<_r%W-A*5C^X1k9eOv9pTcOq=c-$nQha(IJr z8a1TnWS~3}863WxUyMKQ%E7wt1yZ!5cY@fTA~})4IBtF}v7cA$62WGW(;m8}E0h{Hk zd^A@)9_MXDSW@V(cx36mhY`WGvC%F_YcM=svNoed4JO5SQ*NZD>%tgbHamsuoyf=f zQs)%9z|)s)5VP4CunlZH3;!d}%oImH8b3ZZz>S;6F}@rdz1|5OiFi*2G0ua{(7-*G zL>+5)1B$t)+h z{Yb3~vQtePjmB9PRj7d9x>Awr_*1VUvr$)O`+Wo5F}{HmUFwH9TYiJR1S)jTi}(}# z21`+{|C*FsyJ3`13uWiqh4RRIgfh^hr6`{l%BmFQb)al)79iUlIRZ=ULNP>QZZA|Y zxYK4-Dsv|(*=Q{E1;9v+g+6D*=7ipppAP@yPb{=rembnd&yvtQ{7tQ9v>7pMmno0T za#!&TI0^TcnSf_L zCTXn0Qi+x$v&uuy;)$i8p95CwG`3m60`gMW2)R|k6!y;UOTm<8OnEez!a&}=E0BV` zcNf`JXvc3*K)O#)*vMAB-ojE?<)rVVmw1+D|NT0cou8KhPh%yJA<;f-A@knnx9^A# z$#jgwMO*zzN~!?SxnF%gO6`kJrxyv8}dp)93ZuS0FlZBpUWb?gXDzTe6x8 zR*AA^XzY^}|hpDy&DIFPS0a7uwZxvxEk124+D z;MI}8v9crZTr!t`Gs5M+qqGub`xArw0Vix6YJc4?i&<8llV6W@Nu(+%5iww1G?v%K}^F3iGNVy#ovIqXNuT6 z_W_|ih*)kxqD@LPnMBP}L^xa`hEpZ59n=s;65*R`;a)YFWh~x+))-ErEzclI6;OO# zN9n!8dKo~h>^P8>%;jHvX1)fZgxsMDlLc)ii;d#F|N0u-ZcK4Q#BJw;7{Y2IoE=1n zj&Xz`k84HIr5ci^Q8YLuRcIMdkHjUQy?6!=IM;hs5hnFQ5MClz!)7X+vC&`IXT1n5j*YG9CG znvW){QMsoG=0ZpOQHLq_{R-(%eaRe#4pb4{RKyV~q6bA>=!+1bGli-3MLfO=td8+S z&;eD%o8a!|tBCK{iQf4t;zJb}rHDVS@^T?ST)o|Zh+Y)2TriOfRtyz!E=8=tlo)a` zOGOM+5jU%dAr$d|FG7Hr>uf;8=U;%;a8CrwMMeAy?(Tn7#LX(=5*4wJiny90j`c+d z5c8A`h`5;|T6iK@$y7uIMRZgVhp32ADxzH9#!y7fm7bvlh=J_}MC?Hk&j=BVCYw@Re zE)@o`! zdmn<+SXmEnE}6@}e_?~rzU+_Uy=SjM6Vw^Db_5

jikC>|oQvk@q}#4^Mg+%u3{$ z11L{_7U(rQDZ5L_?o*m79x79~Glk63x0$g`-2?5QQ5{aI=|a`vETL-W#Fc7kbiq0t zEbv~mWJ7j%PCK-T&izwpBeatx3o~Q)i@}nEDFWAsekl%G#iEIaO7*Rp)?IcPbdn}d zfX)=A)-S&BXE4@PGhnPm?js=3ayy(g<5hSBShiD^H7ejHeOpNZ*ZBej=uBa1eE~0h z3ReFHt0iSkX<_&-f6?GGOFXOT4VV~mCcz@nSlL?eKrPvdH@KrqIZb^z1i}xQT3^no zkYl_NUQWX1EASktJU5YNY;GNy&AHUG3RA(1sr8xt@rln2PEAGKaiCMYfV~qfVQTzQX56=o9?q_kz>?8+rd$-tj$dUkB%JVjelE;eX}0FJ3}JEWpPBldDu6vJmvP;WQ)19sp)0-eQ?v+QP-UD?CP*3RN6vvv>;GYY_gXE4 z&sD2#q2OzKGQ@Zx zU!O_g45WzI8$-zZAfGo=!JDb|d0(Zx?^E8JF7VVZChz9Td$#hvgygsvKr?eSMN|P_ z)fZsHO9PuiggXtZ-BE^YQVjL)(Z_PPna3K*4J-^CK&ErRl*_MHp3i*B0+1KT_DA)aAK<6x z|2Q#xin5*Rz9^`TE&%-feJRUtBsSx`+B%E<7-eIYQLe7)h4d=rJspof>f=oJY@hdZ zs64ZNSw0NjwZ|)qw)1R<Bsi>4;LhxvK?^-b;J5~j?8`Lj@SnQS*&k2jyL+JL3sOKdSE2G zvLN;m7|afi{;kBBNbyKE?2XauVO7|H28Org6gXf%07KX}~oijo|9ud01`Vh8# z2)6{~^lBcSI29!6GyA6j!<*U9!?Uf>Rk^v8y9&`oa-zu6R7?)zZVaT>7I_K^;;T%$ z)Jqbq$C-4dmy}P!yP1@imUJtVxSap#k0o$?fj{-fMr8``uTAtD%(n#h3^&67yFX=W zRZU@giL7ib86IrmLTaE-)DaGmb<57A3F_RZ~qg~d=8qU4mpgZmML znZyA#bYNCN0^^0#`^Q$W@Rzq_G4fPpddp{Ud-vV|J7(PtX1zt8#O2Irp9^*UymyXJ zts z-*dvHx%}NLT)G5VPTqhQ)P@?)G-Kffg`7o3tyKu-C-Sr80zm^FL*P$^a)Dr9kI+sl z*^{u30K3>g`~RzB2c1KvR3Q2^pJs#85eER$u<3khon*o3jmI1~XYgX9rX zH(0X(!#jn@LpkzrVL&|EHgX9QcNuU~TnzGC4Ir$*-)|Tikex0T;uMPo955Ovj zwec!OGZ6zm-81j_Hh29#v+u- zNS=uo2h!q&v-`(B#vnl$QquQGe$JK%Tj@V=mmbdr{Eza!wz}5iJIGN_eZT-EbTy@S zhIBv(%*ATwH`~xjbm@IeN8eKy1sh%nc(tLo1T#JW5zvNAj8b8>Cl^{rX0;}Vcw{El ze&Rf=T$$)hOFuLHt}q5u)c?CsTLzy>f&JC{$5XR-*xfXP6A*ppNf|%Z~Fq zk9si}+xDyBX)|M^XJ|+>6*Xigo#Sk^zq<_fe|jJ!Vudeea&mQnxIy0|bYcMN6wdH> zLrB+_aOZK8pX_ZG2HALK-!#+7&*5}W}u0@t0;hbDVO~rQ<6+V zuT;CgGU$uWYKCs}ghPL!>UTn{g$O{Bm8+R8i;I+eMP}O`oY|Sl6VNQkVv98N&!r}) z-i(K@_D)Ch;}464k>hS!&d7+AvHY$@@w&)PM!n+wH~7Moa5sr@$E&;D`W_tW_%n$e zsdVzQ5lq94uuoJ^s4|Ap%+>N#A3R2 z$7LXnbq**&_$C$wq069^XXJSvf~+AWP((+iim1t7fg&myD;p&3fRyK!Lhw~87+iUZ zK$>;8rk5^I^0TdkXF&jlJL?ggE;ZhBBdpS1#vN2unMoW^g)w*eoH!OnmE$#HYMX

jikC>|oQvk@q}#4^Mg+%u3{$ z11L{_7U(rQDZ5L_?o*m79x79~Glk63x0$g`-2?5QQ5{aI=|a`vETL-W#Fc7kbiq0t zEbv~mWJ7j%PCK-T&izwpBeatx3o~Q)i@}nEDFWAsekl%G#iEIaO7*Rp)?IcPbdn}d zfX)=A)-S&BXE4@PGhnPm?js=3ayy(g<5hSBShiD^H7ejHeOpNZ*ZBej=uBa1eE~0h z3ReFHt0iSkX<_&-f6?GGOFXOT4VV~mCcz@nSlL?eKrPvdH@KrqIZb^z1i}xQT3^no zkYl_NUQWX1EASktJU5YNY;GNy&AHUG3RA(1sr8xt@rln2PEAGKaiCMYfV~qfVQTzQX56=o9?q_kz>?8+rd$-tj$dUkB%JVjelE;eX}0FJ3}JEWpPBldDu6vJmvP;WQ)19sp)0-eQ?v+QP-UD?CP*3RN6vvv>;GYY_gXE4 z&sD2#q2OzKGQ@Zx zU!O_g45WzI8$-zZAfGo=!JDb|d0(Zx?^E8JF7VVZChz9Td$#hvgygsvKr?eSMN|P_ z)fZsHO9PuiggXtZ-BE^YQVjL)(Z_PPna3K*4J-^CK&ErRl*_MHp3i*B0+1KT_DA)aAK<6x z|2Q#xin5*Rz9^`TE&%-feJRUtBsSx`+B%E<7-eIYQLe7)h4d=rJspof>f=oJY@hdZ zs64ZNSw0NjwZ|)qw)1R<Bsi>4;LhxvK?^-b;J5~j?8`Lj@SnQS*&k2jyL+JL3sOKdSE2G zvLN;m7|afi{;kBBNbyKE?2XauVO7|H28Org6gXf%07KX}~oijo|9ud01`Vh8# z2)6{~^lBcSI29!6GyA6j!<*U9!?Uf>Rk^v8y9&`oa-zu6R7?)zZVaT>7I_K^;;T%$ z)Jqbq$C-4dmy}P!yP1@imUJtVxSap#k0o$?fj{-fMr8``uTAtD%(n#h3^&67yFX=W zRZU@giL7ib86IrmLTaE-)DaGmb<57A3F_RZ~qg~d=8qU4mpgZmML znZyA#bYNCN0^^0#`^Q$W@Rzq_G4fPpddp{Ud-vV|J7(PtX1zt8#O2Irp9^*UymyXJ zts z-*dvHx%}NLT)G5VPTqhQ)P@?)G-Kffg`7o3tyKu-C-Sr80zm^FL*P$^a)Dr9kI+sl z*^{u30K3>g`~RzB2c1KvR3Q2^pJs#85eER$u<3khon*o3jmI1~XYgX9rX zH(0X(!#jn@LpkzrVL&|EHgX9QcNuU~TnzGC4Ir$*-)|Tikex0T;uMPo955Ovj zwec!OGZ6zm-81j_Hh29#v+u- zNS=uo2h!q&v-`(B#vnl$QquQGe$JK%Tj@V=mmbdr{Eza!wz}5iJIGN_eZT-EbTy@S zhIBv(%*ATwH`~xjbm@IeN8eKy1sh%nc(tLo1T#JW5zvNAj8b8>Cl^{rX0;}Vcw{El ze&Rf=T$$)hOFuLHt}q5u)c?CsTLzy>f&JC{$5XR-*xfXP6A*ppNf|%Z~Fq zk9si}+xDyBX)|M^XJ|+>6*Xigo#Sk^zq<_fe|jJ!Vudeea&mQnxIy0|bYcMN6wdH> zLrB+_aOZK8pX_ZG2HALK-!#+7&*5}W}u0@t0;hbDVO~rQ<6+V zuT;CgGU$uWYKCs}ghPL!>UTn{g$O{Bm8+R8i;I+eMP}O`oY|Sl6VNQkVv98N&!r}) z-i(K@_D)Ch;}464k>hS!&d7+AvHY$@@w&)PM!n+wH~7Moa5sr@$E&;D`W_tW_%n$e zsdVzQ5lq94uuoJ^s4|Ap%+>N#A3R2 z$7LXnbq**&_$C$wq069^XXJSvf~+AWP((+iim1t7fg&myD;p&3fRyK!Lhw~87+iUZ zK$>;8rk5^I^0TdkXF&jlJL?ggE;ZhBBdpS1#vN2unMoW^g)w*eoH!OnmE$#HYMX

VdMvP*RQB+Ei!XWeshKe#qOrIFT zo=bhA7+lNQnB1paA0gKv!gWA-cutGrKllk_z;OiT0a%jEDgL8vc;X#!@$j6b(fDz3 z5f*RL6d7?^@6KH%@$SC^KbraTj&+`pDJtZ6^7-`)$!&SSo;`lS=gmOyL}tp(#s@R4 zS1N6u<|^TSh4t;pgA4#~u7VJ`@8n4p@?io(CaI7r3IR^FH5D};E)LIR7xpx9FY|Fn zXTxcOq@V08PKebqWy5Iq4R4$1BANJk`KC0sS8&5)31A-eADq5}awIHJSf+dF3 zpsX&MXsX7dRm7qetXQfEpb`jfw&ZqQj8$x`+Q#>2vBruRk(UH1iHaKVEmhQLQEyn( zh^P^%Jm2p*bMM}L3GnOB=lT4f|L^hBlD#wMoH=vm%$b=pb7new<>G5hidEftZKqhA z98Ms_V4*;{>o6|I%`k3VTOm9EVAg`$xI&e6YMt>mHA&1|6s*$F!+t8qCEXBu&*lYNre4kyzl~85jR$Gy@-47cttT$5oQji zrH1)o{bPs1rEoDQA}pYif8vflnf#S~z{y`|^(?0csFy$^gguuWo0X$X9;9!iEIsKy zFrgy$!hWIy&9bs=4b($0kgS<`oRDUQC%l{ihe=H!R8<+uDDR8eMX0MDN1x23j*Ze9 zs1{15ZB8WBXyG3JfV`dyioEI~MMLug)&9^g1(Ua`6^+ypf0ROZtOoQ#1I^q>S+y~u z^`SsD4yaVtv*CZD;ExdeN(HZXCYB~I%Dgj?H>SUT{z~+Un5t=Zo^>RjFx7jLfi zETa)+$iwg8l=6DxIh1jmmH{11E@MBIAtx;j{6mDFOn5^Fs!2Kvn0}VfVdn-Zh+3`# zrX?|xc+=*{)hSCKsH+vYITE-T4njl&TY=j{mA7MLd=ScyyA1I!T0FT{lX2igH3N^7 z-^gxaSiwL`R{D^Y=F^Fa5W&)+_|vQd#AI}I$N=-6nu5UX{_39sIfPK%Is!o&%(`ZQ&YEtwA3?|58TkZc38-5z=)%ZwJ*HAB_P#!0)mPE3)6o3ilZ5CPmBQ)r zrz=S8H%>|OwWLp1qNKl`=A!JPq#ZcHkCMc_c|VxMl6MjRq*a$6ZNRXB)_*#=^$+>6 z_zWhPrl+5rC*m=s>Vbn(Rwh``qg|zaOK^Q>z-U1`o!H^^Fuf-!|5s5`u~W%EZqVYq z7MJ`-DyR}R?{8*^Bpwhhf%dzBF(p>pj&{$jB+vk?h*2)$EwPBUF2SJaXhFSK3+Om? z0(H!A98fm?MKNA3Y|xpi&Onpb%Df=*#vJGW}`M86p8=0h+vu8fk$tV-5-G_ccik>2Lc3mg!c zgMB081Wp?euoTful^{|9B7r98&3NmZu{nO4Y_s6S|_R3U9B>ixB@bHuSjI#_BxYy zD)XL09`_9}`OFxz$8`h8WJ?dM{v+_az9SLSZ;Spx;AsTDodAg(UD!KE)fm)Q(#+Y} z9*(ZpLQZ2Lt06_UuES9d;8qSJ#`?urXLHM9B;e4egUfpB(`R<5ACT5uts|`J&kmF3 zcMh#5o*-A>3-l50#T*)AIiAljHwKGC5q9VuE^NcU4&>VqBX2I?6?oeU^EX@D!$ zP8*_AkcsDk=p>hO-k&A3MF*mVA!21G(|!|ej;aq_VW2J{)VpMw+{*OK+rGT28P_)TuW6pTw zU?f0=&l`fx&&bL4v~x2vV%K8b@jUW_?iC1c=og*0&a5_N<65Rlw_@0oXvC;s1gb#l zUj11EC9guGVT}g2mnY2a65wDRQz??YN2@msIQF9yh!{$qvOW={#waOnPoJkh>i|#- zs&&a!_arA1a5y0sfH*BRoPWIWI<6D_oyNFy@6+NReFnu3H^t);=3KksWHyfMmzTB1 z;U7@=8wmexfwHR|*>s`u5$L}Qkd6M^$UkYvD!x7dZj5HqYBT7!?gY6oJ-r+aJlk0A)Bl+{|wVgTEt+)~i$e;*AX zZS_n4A;%6ggzkuGOL}Wcd70EGGxrZs?~6(IoqYV3L4Cpy`=U7AJwcbPKBV_npSQV< zgk(p#qL$|RX77NF?U$8#0I=`VDv9%BofiE*__Tj1MPE{9{|l>MQ=OyHJc@NSu_9P* zLuD3Vu?Bq|N~uLh*u7Tr(Z_&emhx|CNi$he59E8yu}{IElLB2 zNd*^Z^gYQ$y*8u#Ap2Bdkft4d8p$w9uz{w2lTu!jmi1r>qh?24 z&Kg7uZ;^^5#V(1xdLLZ~gi-h?hkmEhfA}fT9}4>RV z&r;5!F;!fFVx%me4fYlx56tF~uc&XWe(`XgJqY!ZhS}MXy`Mi4FYQw;ZS9jNtt6>5 z>p6S%iKHhset<{8yA|sWV(n+JA`**r8W`G785*hVjnc2tBE+yHrkv6fSx$Kr7XJWx zx=c6Xk{`BWBujaM6@w&D3Zk}jWrCufMjj*~`?XQux%wr?>ofoeZn~tyc2d;8Jrej* zOL^h%DCI0u3dSoFBV}?IF?R%W4R0p>cBT(DSczSH7CUmJq}I5KYVl}}`nC;)ueIEB zN#RwB+0>4ka1B^D;Enm#00&d7*B}{Gc0E8**;1A3hx#=^aAb3Ek-vH#_y`3a$n3fX%NJCpP%ATCtJZ;nP_OQSGY3HP;>O=_{SlgCyx{9G&&9ollKGW{SkQ~J9o?>{`r?c zpow1VZa_F*>y7xWUTZlL046w|Mk|`*r!t;r+nVxC#LwUVhB8htY{@7N^z!Y%UG7Jhe*rxXYwpv#&(f?)BT`&+fJz-0;(9xw}3Z{<-gz`?>s(E>uS)7 zo!L8k9Xh*tLI{m`bWut>qpHHxVI%~vN{a4%x36bO?YXm$dyk{h_O`d}+tKzNJjw@0 z8PyH{A7W2B1_G4H1U|XM7X8^ix&s-GhsblI z`2^GlsvFnkTGXcw^{GdFYFvMTb0d`Tyq&3xO@(mnO{@u>LNdv7S1o5L$ms-WRS^2Z zMua-Ea3&>ucowkC1ezy#z~>JMdbbN&IwJH!R!!*$(5|6O`(vr1GR0@IOfST$%HDJ| zA)I+7D&fd<1P)r*YbCeUF2d^1DY;BbzW1*v`A}0b8mrWF+UAV%$cwB~7Bd>`H7kdtG7HObfb+1@$on#Rn>rdpUDIWaUKpOK0KIM;^Pu@;`o`1IE{OL|Nyu zAy1RyMKH||K`5GulaqLIuzuvoEH+l8C z-m%fp>pEAjWgmd6>3TVwLbkWBj zk)P1g^q!FORKiW{;pR|E9#HIiDF@oQ#Ev9RSH!$G0A!AUT+(Lm7)FLghC%2vlyn{# zfRg?mlv0#aW*{5>qD>T;Fw$-Yx?-2!tEuRkT&U9zStyi7Ob|***GdSbKY)^bKWfoi z%lrnm++O->x|Y#5(|Fm)+N#R6Tt@ssmFyta@6V7jlCfKxiAgh@R%<;$z2AZ%4t$f_ zA>>c-p(9_^cME^(bppt#0U> z1Bj;QuYJ!c8r%%NhZPO|1|A35g-P^0{_Ad_{WC+_`HzD39I);cEO;I|WDb{z(nyqt zfP#+oYoMTGwLI8c#|i;BHX9x1bb%ak1}G;?EnOevg@in}I6C++fjp=}Xs#=^-j;n< z>=$ArkuG*DA<-FqnF2ZBMS*N7wq7h|m;vUx4x|r|n8Ktybe1%0HQpt&gJxG=ju7XB z@uKErcq?$&5S31!(REU;{7LJ5IO#5sH^UG2=wRpju-IxAi=6}8cLaW@?K&I!fJW!E zg0_oy`p$!#P--3vi24?4sYA6?NsJ^%{E4`m|HP7fg^E2=u}@L#T^o{{hz&kyS+6|Y zv8-RQCQ;w@O4C@D(EY;St}V+kh9>GZMIF71c^oV1J5K2tGxN66+qIc__fEs3>q2@? zrTgH0knWKXs`aY<$YBoi`DETR{pi-t`mBn?7^sO__^u7qWp3fl%`t1V@S!aH5sK_p z`)IfDW%0Tn-E8*>b@myPg@MAH^xAqaa4LXl^Jd^d#JyVj zktp7=0`F;2|NaY#nq!K>By^JQv6_!4 zD!or20GW}l<`G!TNKb-gupa?)Fm(liWlY7f=9kbj?P-FB`0?z2V+A&EbsEnFmXEnu zZuQt>1r`owz5*gQf5);=C_d#_!io(1hY7m<_Q#k)>HUjbd-fVvIMj_R9Q-e0o;H*- zv;Q=%5NCXk(}SZ!u}y)qdg!oSvOF5`8c+w2*cwU1(v}JrMg!)*CZi z$LC=@jvw8Py!(;YYiQx+&=<$&F@d_HkAHYV3wdKfYG~mqq$Y(HKF*}X&_dqRmK0iO zF)1;$a0ZjudCmm8SW1=hkJp3b4$1d5X}3?UbxuR6JMiVij$+wW1y1j&0CZu-aOWo!G$_EF@C&RL480u zXvwiUZ*_P`MO|p^@UF@%mJB;5E(6UFG>^Qs+5dP?O2?G3tWgrdbiMsPocj1ti&QnR zFa$i1S4TUDckE>UsSYRCa7x%Yx%SRLQoIFgk2ZOayd0M`ACdiMY4QR119ZgZdf)2A zw5`>Cqc%;OKb0wMKFc@~>AiG(NNAgi_T7qgY`YV^BYY4A&g&NwVjUMTZz}Sf$f^G{ z)^RckMl*Cxa)0x=^nTWDWj&(eWc^@B0i$9Mh+*gv_jiLs8&%&bIanpnpNn0J{$+0%v!M3}c-n3Pz@(~*x@$4mK#4zu^t+^l@%liH6ulaIMg z{cgL4X8(UY)^YzkAcQyer=Y}QIX(7dRfla0k^MBXogRA~(*NtRj)$R?L@N}Jb$sXF zm`|sVb)3!8^HKW$*;vO{F&Tm#u7VxjjabKrv5DkB?-}> zs?Z~Ays?gV^T>~3v-eoX&TpZJ^HyVOn7lJZ+dhT<^j>2fx8DZ&KeM0oB20dZ@^lhw zVjbUQ?p>bTG_j8BZQ&8)A!pA;m``H!0KX$?VjYLzC|aHwo-cZVN)eBBl)R-wQ3>O5 z_{$ppJZ%VK9rI!k&shoqzpevO>45;{T#TQc5F_RXvYj$|BOx(qI15ar#5z`Yvi$!r z)=^l&x!9CgN8vU(*0JD2sR{Tqb%3+eslzk>kUYVI*#5IvM*xjlM0$csnRE1=#X1f}+oGKzXlY~l>y33ZbmhGLji8$v>lnQi3U%gSaEM6@lx4SL z9nUA?K!XT1ON@0qiMd}4QnJiV6YDrKO{`;+9H6C!plVPXs*q_S?7cWm^n=xLiDC^X z8)4OIJeSv^;2X4HTOIX^Z*-hLBpnA1XO^tQ@12fM-X790% zXTaRJv5qI>BVDZHnWVF)vR>nn=L5L7E;-h*mTCVM+Ou3{bM?IFQb z|J7K>18#=A-t>!N>^jzQa|F^#=-Y?9ndi)(_ph5mYD9dBtja)st;hfR)++KpJpO}|GO5AQAVf(|B^ zF`Q+H`!1-}TuS(vgg0ke#%ub0Outm-gzwiXzHOZ_D=*>-az)SGoQFS*+vf($n?873596c01PbBTKv~ zpYcyx2ij#1Qg}O!b^HJh)7^-5JfT}A>orgk?`bYf)Qh~~QJ9Q;zp;+beztvwc^lff z)op^eKy(-<%B?Qh<7GOf{kb~mpu%#F4Zbb-zD)emjjHvF=0nw{iZd?mRW*6F%qv0O zPGTLeCd@E(=5Yi;FUOk1?Ka8%`M(kCn8lJ-_G7#5PE@5g*70ee!r$7=KWWwF`1>(z zU;&sC>p1FD@fqmhk;FUAFdOKWLmWK6ry|BxYPiF#Ca}!8Zp67(#N0jholZi_S(7jO zvL>8YWB}jB;F*W#)olOmn2MY?G_)o2kakQR@#-7qmXW=1HD3<==a|;C+K=PWBuy@9 zhAi65>go4eGxQCo8aVGc1}5Bif%$SgO0pAClC~-=uL$G>se@-p_U0Z!wD7gxfxj}t zpEF39ye8)5BhL+od>V>`{YBY#z-ZiSIOHus34 zEabHu!Xs}w!1}lo22PepK!PB*$^e2hH2^~lq(qJeY_9X3fv7VQLLk@La`_0uP;&Im zv^|}8NPX~Ke9HDQXmOcLYirwsafHr37ymeC-?#^3Rc^O$_!IVQ=0BLYzp^Iq86-HM z`-~jOWPDcDH&ZJH;Jed&^WLt{aPRqmS2Upyey%n@tG+qET(YYwKg*DJ?x)nqJm+5i zNt)+;e7DYXQg&#DB-sLcKg>d?HB%R2Kkg=6VrBn$(07OeeiQ2cCeP8q?~OZ6xGgo? z!mmrN#INARvQu1oef)*5$N$KrLb=!ZqU;Pj)O0p zREHuyLt#kI)^v50|I9AvCk4McD_-!XwY^}yu|a(8=@_Iqo`jx~Wd zc|wzQh1zgCWzZ2rQDKBVUbIQN_K*atj%Sfw3VVtg#BxFQ;@eOlgm@W7Jx34Xca6)w z+FJYHTB9Gj1p2K+NjSTRQ)ZyC&6U7tUKBKI64E|G8djXx3El{m$4>Cz`IKY22$V1X zgq0ZZMCxw}^oY;+C#}?50ZkjAwey~OgZA1lf}@(iw^fzjW>gHadDS`|$>Q5jt@fe# zfWXX?Z5q~%E?Uu!d2ctXgDwku(VVj)j~~F;@*(7iL#S33vs#Ow8v+F^zSqb9S2YN1 zpu07&nYpne2#T9c&6}<{uzcMW{Y|1(njOmx1vY2OHy%shJiEQt;b`9Gk?7gha$Y5b z{T{hT+md_oy^7z%ZJ&jD?RA(cD~1}ESfO^oXjn49`e``!REtu2ygN;&+8lT#s(s$( zA}MTx7S=9*dEGluP$GI zy$6Z$&klU1uzAj$uGCtMBLd)RKMEg&f_8cz}!t^B`{m=Rt4Yf z>q$`kuRjpg@3FG*)Sg~$)&uP|b>MX|8TM%qwJVEBtO{ePIeANIFmx=m%?BGnlxq$g zl-N;`heDg+V`8PSC~ckS4*A_+<)*aWL@;G^JmTAb zI-4QGFd6X;O!|Hz;agw*>w!47_y-1TzGKMo7^1l{=)VTH8xOwhCXQG1=*kTQ_CS#m z4=S|U@1Sz7ZOMZ)NC?8PNvuaD8#OxWaf3oZ+x}D(l4k|BLbu}_K(*1DQa%(LMg|an2a|CK z^622&ZjSxVd)s#y?V=PYKg?-b?ZK3lv770Mgn*e;9ft)iM{G|a=oox503PEVlCu{F z#pU|GV=~R#r<~t7T=OyNIp>qE{PKl*j+irWMH!VbT4+^amUav+D$dgqB)b+%xFZ!B z=eOnJ+6xr+62i(8JCQPUm)L!%g3PxPO9TD6rA~2pOVueD^Ix){#ZOd{{Ya8$F*MK1 zgj~Q;zNe^P%qPQmTo`ElQ03MP8E$$^FTy)r0sN+UF)G1s>~`CckO$@t5xt0p!Z{8z z3rys2Wl5xO_<1~JHeH#jmvip865d9rgPBUwf-HrWE|B{l=YF^-NDHz4vt8!+$ zx^^Cph}h)LT0WGGWj!Uw7%e$G>9s*}KT7;bJAL2)Z^TLL? z6edNZK$AU^*{{nDZ-x}!aN{9a3EOl^cZ%WrZo*H@@0MobAtUmhA)HsjZseW`WE6v> zH30)|RL)>V?wKNqLG<|vLtH=CJ+m|w#%aP(C|VUZ(`$lO5IteSXnaHYE~&$J5x#@? zuE%!+zDw~v0pE@IZo>B@d{4o5GrrsKJssb(@ZFB@j-XXj#b&VTYWRnnfmUs;{7gLj z^Z2_QXSFi(R#TY~oMg-=IAS;zMh!S%yz0z3AeNhvT>RSs#aT6bfBdphOa~YMxa>K; zg?5b%F&Ul609TUHf(+C$ac+2|FcPp`)12p)4$@LoCyOfQumlp4G@SM5Ww_=6?erBPiU=%L8Jv{0klj1dJDZ&l3uqP2fiWoS6K{4M_fj z(AcV$(T?=TWo+i76P+TzrTij;^mnDzM5IgNv`q57Og?=Vv}Ww7YwE$eXxck8ZEI%N z8BiiI!YVIXq8=7yEgvH4^_27+OP-4HHXSWdM8eJ~Qh8M}l7XAfMa?7fuM94<-Vj&@ z8GNt@y;mZsy$?cqUm@eukopSQoRiE8WTEAJA&labd3dz&sp~=NB9My66J^1=R((ei z1!csr_iT9aK7_`Wdr=vgN$dj!do<`e524ZQl7RCN8o&Ju4#o5^Bs!hxeM!{RJH_nc za2)G8h($c}H5n|DBGl|2?G~YCm*4&cTq=d+VMmxOwKqf&67wyW$p5$WDS13P?^)@j7nhBU1z(k_t)YPU8^OInTAz#6P+Zb|x zb%_k)Mh(^aWa8D*IX^&YQY$>>N|JmsmEwb+oh@`N3)~L{HX#oqiDTSN_Ib?iL6Bt% zm1GOwwN@MlI@Vxrc&S!&2&w*GZ_+n(h)I{q%v(*X;KZAzpRZU84@AAKC=rrOg-#71 zJ@fdgGcYDkTyUs^?X$iD4KQ;nRl(bX#(*#Yk|r+j3#U$8K2ky!2^}MZh@3ca!TXnF z7+m=uUu7mS@!7}6O5qGr*o+YNboy&x4kJt(V9fL=MQP7sF-B=C27;2#KFplpGB?4U zCpZRlj+xGXrpzoYM?sgOprs%Py_LxZCM72OE@l^z*SeI6h?s!?>s5068#|w6bXXOy z!C6lK7jzBFL77*`K=hr8aOQQ8(Sf+-!3uOo0^N9K-8j53@D>C%`xkJYL(f*Iax*Ok z6%HC%M6?fa^dS;}M+{RV%vWGWS49G^Ir_snf}n7X0+azn`8!ZJL$R7oleMF{48Z1V z0dOm6ikA)UV^FpF*9Kdr_2hNQBMh8%lwYj1Q0GO5x(&Q*J-HM$T6ho1oeFZMq_Q3q zW%A}R?@02Z>p-_G$7#nNE#s>tFNa!BaU@RZ#7vBq9*o_|;Hs7$Uy8b7n8od=tb8sD ze5#uRY!FDs_J@n{yH%DQ5G(pIzYFA><2}bkjDq|_(en~Pkow1OLFy`y()CKxc%R%; z1}jo6kwy_|A(_VWOy3;b()Gj47eXH#JnV(gwu9#$nl@(z&@-%cuYP20!Ltu!GCl<9 z)2aXW+x6^Mr4x;YT$~UV_uln+n{&9oV=b@4$Z9=xJO5pX%bt>TAs7ueB2~mir^u-y zYJwxDloA&o$8c#j;#=WG_%|Z|-r2J0V2sF}nZx*!xj%fAv{|@Y#!`KVBN`=nGtZx_ zL$T!J?NWkSQhdc4bi-I`J>Oa!VqiTP|Y*0YUBPL zsd=L{uUr1;%c&TaG%u)m85E_?kzvbAHE)9E!Z;Iw|7yzEy=J0gQo27Xp zHBVY860Xujj)p2jYn2FbU5^Sjw4CC_g#Z%21;2EHEZHDNmiu8a1doZb)O>w{FIkq8 zl#eO=lPt^Wnm0@H@|1s-WuOX+y&7O7NYRPXNR(fop1#&($>Dg1#o&`fnK_3M5~W4A zEZ2-iPI%Yn4-`NoTqiuKGDYrb5-H|jft!PF+$?$bv`M17xNg_f4o%Gi>`=gVYO1ZN zSkQ8b9qrcC9!)JmY7nWS4Uv0tL^#fJJDMv(x<~ZDU35o910wf`2DnS_=tzZ?woh7s zFQf|evIZaiigawL^SssU$niBen8T&kRz<>_Te|*klSOee7sZu*xf|DY6!y>@KNbcb zWZ|x09*~5AFH{42?A0)^uWf=l20E?4#@0Xwjb$XTu>kQa3%D!|1v)T@cF>alRB^uj zHE`;IlbF1b$(E4n(DA8FmnhhHmf@;d7bnATjtrMg1iB6SDN6N0qMr$LaN3}~2iw!M(2=D1I&SRsFpLEe z{oIN0;}kxF@DCCmesF7@8KT!=gf;;0T?K&ZIKd?Tu+z|;Q9&Ol8nM;>8h~i2%&xg4 zAK&(qma=)C7A=J<>NtLeN%<%sz};a5Hn#>gXiIG_2y8I!iogc6)CRVcxuxZ2#QDl* zOL>z|X7YPTjs!M%D&FFLnwG-CP?||_&rvqMn+n|fft%7y2H+h6+(hDKAl^$e@wN~V zF`N$6OFY&JZ(gFvanUR59VNZvS)eG9=v3M!I$z;0A$*oFnAAiDUDalh$DQ=92a5hL7$>^8c(9B6tInyD`26$=<>JjLC}cJYNk2cGbB$+i zdZnT~K$P)7Nt~O)8z1Otu@4siR8MB}UTl76jyhFQrxJDVMAVWVRE&Fo0Xz14s#5t_ z<-OMl=rv00KoV>D$dOM+q{IfLSRdZ*;>scq&t_ttoKewFyii@7Zi8=d)*9HZirI4| zvan6DSIZSWxk$|wke(y(2XCiWM^a`q-7&5+oUy{v@E1y9a00a9Cr+}@nTfx6%1$cuq!x2Ki^)eZp`L^14uH|KT3+2`wOh?* zp9S`=Q?Zujfp5m!3HoA1FC+T4jf%dPqJLoF6*OruEAU#^?*NFM*x`WG#z5-Y`0}FMASe!j6o()1DexAA4NUlha)% z>XGqE#rPvJ#sDK(#ypr&u@@zc8X)?BCp8Y9dzsM)72q1BaUN;({EHGeWo|!_K=9Q~ z&U%37qxxdL!4VM@_J$?=qC`+FDQ3p6#z$@?AMlZIyc$q(J0f&M^+Rzr`t$!3-oyFEB zzPaVK!UwFugebJSXzayfFG<*qpq~Il#UNv(+@|dRVlvpz5capi9RQmI(}5wA_G-?R zSfxX_;$B3j(%5TU0EUyz-m@90tbv z8oO3XyM~!yqgA#j)wVUtWDph(t)P*(9kM+zJg`WtT1Up5L(uTh_?8cR!*E1{KT(Xi zte11o*chHwy#Aylut3Mx9nuX3bA=f#{NyrJ;SZf?MBM&v$pr})aUv~u!Q+sst{@As z_QUJ4EP)fBM|*bcsH%KLdNPd#740S3N;Cm&Y3V3Oid!V84Dl}!3#kU z#5-{X6^;X%)UsJx}Z+M!8Kp~cm_;lBw@*C4n~*EHhF$CU)wr! z659HqcWD^53rJCReqj6DG4dP7$F|Sr$ugFP-_UZ2FNzkva4FD!12kmfE&p^eNTtk?OWfmQ)uv z9`PhyLUp}FFg@x!w+Nhvnn%Ly?pa88A^1OCtKEi3DL-^U7ZorAoMir21q9g4>}IP$h?p04f}>gqnkX(;j#NzC%P6|YC}`ipdL8>D$0 zgXneQA1fpmuUfYqu6erNH`iZPL%Uz&D*MZiE`eqdD*HM%_nwMxOUemk{nwMxOHfr8x%}X>CTQzUH<{3kgEh~5#5Y3On^+2mZBWd`{n=7HW*=hMn6?pnKZlJh;p_}w6L`d zntSzYm?_C{XqqDEV;^^SpH}03V3Xq*_y>009F7?}=Djq!|3BSSo93X(y3L{Y-xiKO zAIPNUx_T_j{Zp}+HOQL?(ZHqK7 z(JU=iyk(k~XqHxM-dfEwJ>@lgagE5epL^TdPoKitZ{BK7_suR3XaDq!VD=w`6jNva zObtfs#wl_As@>rIsu9)K9b7YAAN>ZA%n2vCi~0eijLE7v7>z?38agD-VfnUd8^8o! z9y6!Ne55H`=_@quqt!n1U1?h3Kzedw_V9Q%tn7V2*Vr?s#GsE#m5B2-R!J!`I$*KL zh(htmXg5|!4*&zp-Kou|mgJVi4=s^l-MWEmCEOguF$iuTSy)WU2%%MXDt>3MWm6vf z2{dh8!LN{@@ zcoRB^yTzN(HQX&;?G*6Xs4#=k8dd1tSDc6G@IWzPwe~;Vl61WrpVFwZ8Nb|mi0umMrOl1QN*J5Gy)Z*|G{fG`WgO(6WJ;Af~-Yp=T5YL$sXjVO8*VX zTFWQkkF~H^KB7hVlRCX3h&Y(T@=JWo`m+>Hb~kbMaOZ=*^>*i@H-B>)3P2$T!Dyq5 ze9`c9eCaY1ZY|WI)xJOtQzBR{20#UKmOsa)18a1_AP}LJ;{g*#C8k7E$brL1t(iBH z5p&sfHQbUl;a?&FR}%9N-d~dm%!@kAe2P02?Az8rs^QDiks`B(RDLq4=%6q-!}(ES zaz_rHj+d0|A4zsh?_^myL-qr>pb|L57El7oSCr&9lI;3NDyL>5Ekc%AuGzD)k_l&e ztLW|KxOdJKp>}a?SueFwFYdq_#JF^%CVUyHi`O$<6f<{EVIV6J#YJ$Y{;C$v)L*~S zO#KUAfUFXx{*NF9PePNI$>0SoOP2b{2QR94h^-Q3t6(LEe}fV2KdSv^-{WkYwZLj# zvOU#JoX`K*t8%PoVzx&kz4G)|PxX>bT_&_+;OPng2CTCNSXdk?b-;bQzctm7G-l!& zlD;9;u~=CzLTvP`m=ZlbufCityAH7N>+!$H>a|y#c64&+a+8E9_VZV z{^Vg@;y_iM=nR})$xE?g*m=^OFhr?h>iqq0g@I-#El;_46ZQA+w@?L2I#c()YPO+X zfz6)1tDSSroy-3%J9t$*;B>Kv!Dpt5(UTpzN98QQW^e8_@!XqJbFYl&UZc6Oi+aI6 zI~Dt~IQGcYTu;gSXs$K*r(ujjZ85rk29eOt6==+ z&j=o-5LUP?0sh1zc3|g{2B9jL-+t}D6+dLPqlI^kg`qBLW{+701axQ8s|o)N|F(u3 z@h?y^<}GI?DO8}~Ih}-yCN`m|4Nd14Il;*wa-(JLOvryj#1e4gqF!c z@T@RALOWne*^)eK@qX%AqSeqFHZdRMZ0XD+jI@yWC=Pi+&KnViTBR^UU6>sJ3OXih#YT(Q%HuRJZpXr8#sEIose9GB9qcs+uU7*P=xwRB@oij-zh zX^I0)Z;?P9N;PkS=H)>g@z|3|nm0xBMrxj{J#ibTCKfT#g#CS%*a#H1{gT-8Y-r%DKchrfxij-lN_%yN%N*? zUZRYrYu+r)OOUbau*_qPA`6E~#axHwNKGBBsrANTnIKWuVVNLH*I}6;Mb}}e@)L(; ztrU?Hj35BTG9gYHl@dV!N(8q%SQ?gMX;?}mn1|G%NNpFc?&%N$xZ@#$02E8ZQmlJy zO)Wxd5UJe?+oP$aNS%OGRTK?Ni3BGhbqZ2dMKmlW5}c0ISx8mo(6E$9O%+=^qBlTT z8k}OOZzod4Gl$RsRSvzxLUm-R>WFl*Iox^ns)>KeLU!y(lsbdvZNMMB(_sJywxq3& z^X?X-NGsvYaXH`Ne#b2KZO?>9tCMnXN{8H5Fa$ag8G_>YV_alXcJbuc*!&In-|YRz zPkt>p`MESR8RV`B^9r4e@Y{0-uHd`(Fp?wlq0X;A4X*DQiM#^&cW&Qt1rPGGa^Q-m z6)uNxj{y#)lDEi0<|DkJc-SWYlI+}?@CbZYE$6=@D$dc8GDC7nLS`z}XO-&TM}z9m zcSSXJj?x`oaeA)=S17^DNN|r`5o`^g2sY8+Ftwxci~qGs(=!c2B5N_`3V-!YXchdO z&x7J)F7;RcM*q&A!M_22^)L1B{44qQ7=QIx{d>~|_#3iEj_K>4e-?fkiWp>(C__}6 zssB${|0NJ)bh-4d=u=cct7R#7nCuH%MBb8vE1;=jMnf%$m_}PviQX-&(Y#V1$8Alm z=GAH5B+WCnre5=?ub??y^Ng)&)VwCib8SttrnYHnEv!l%%5T@y4o!7zO{b>Xn%W51 zCct)UYLBKiBNYcSjorr)QfZXT*fA0Lq1Rr-@@iuT#c%qF{dUEAmE5e8Ai0B{!r-nd z<|u4`1x9^##KxuS-9HukWMaR!Sfty#Hg|1-eb+#G%vrew+N=7#t8_mY1-kQhMR!g3 zSg@Dj=`8&2y|a9%#KRuBsQovHgLrkTHfjtQQlOPm)K^r)3npqitz_x z{A5=gc3lWgs+NmT%lG;E9x@i|7uTB5a_Qvd`>19Omp(sCE_VXcG>KZ7py_?M%N=6FX|KJvdCDb%V##$N>2a2>Ix6$(xEk;DPYijWE|O6^xf4ay z>49E+%Yk0+ryS_TC|uM}H+ zmNjU-B~w>fmAqkxy3vw6m);U=>B_76a7RtaL;5jPJ|4z9WkO%)*ZXm994-9vcr?zR zo)8g%D=VT%pLhHvvCbvSzTnG{Px+nyA8k2&CXwk z@($MW&L-V28g~E^u!jaGe@UYi;7tzZ@k z4X>Z`gV44?ar&Pg2l}^xet7*#!i3lR$8QTxhFM)MCKu~mLpZ!%Nq@tW=)>?J%QwML z3rLH*&A|=6$%{(!*Oj{!h_Zm=Q2;8Zf(c^R9_=d^6XQl`gdDtLAAI|E1RO3#cmcbg z9z4ub2^5pS=Z~|E8@i-tQQ>e}J-LOBQ1}B0-vW52g-m)j(;q>)Fftjp!3CO&hrM5u z-4B2`S)JMp?GV$EO0;z(kgs--P4gZTn%0PbkfzLvQ-wrUdJ@BwL^VkaOCm868q%lh zC#n}X{0bRF&&0pbj?kto+{6X#D5;C|&2OW2;Me%<{1?F1(Moe9X}>uN_y6RzEZdVE9mFa^AFED9(`0paHv*_9D~ z-hU1h0O35J;WYktW3}C%N8um~y@6`tjht=4=nWt%nWWh_IC)(#vl7 zOk)ZApoA3tV4LvrYDY)Dy%jnVSR5@yD50teIxSx&@K?8hx86GLC>52DyB%|b%#@*|J9XOUP(LG(v@{w z8(w*elq|E_IE=MrHfyBNvQXu+3|#EkFYusHzkL;tMwVChR+E6%Wa9{MY%QXImLHGb_!S|_7Khhkv&W=A%Jg%P4h^kk%rLriJ1k&P&54;5B&wSUqEp+uJ4n4TlT|VU zZ=f+0Sd`iIC1zFZL#FGWtt|bFgunW$bbWYP8+a9-*X!PLi;QL*vpAYf9DAwr-sR87 zUZ87}&}J;U5RUR)vGrB41+&IL98CWz>#VYyt&*B?R-jr1gK>%G_sne5i`ON68bf_9 zMl!^9(PY!q4TFNdKubK{dTs1-wrCPsr0nM5Wi=LTTiN1*vTFZ=CowsQlvGE`YBFEI zeG8$=hK%b=lwfFE=3HkG2(QO7=Sr2s_@g0*PajnsmHXN?1PGcsvNga{aZslk7T$Fs zsh2erl-=x)7VB>g6KX_WNHikXDD~KIP_Gs0(tKEb`IihDShelMnFwfnI77lTL96KqoUcm(+w%7N*n?j8(P_rVdXaM9P*~WvlVDRYBS6xfAdgL2)XQ z{IW$Hh;>{nTLjBgp{0#tX>UEEGKrKdlAxp(Fy60f+epSnqQJc)Ws5+O@nefJV}IiS z@2?(&^(%A12&V9O6#y@T;-N@P62z7)__5d$wr_q}qo?dfls#9=eYJ<%;2{T2aH zrtH!F`S&|okcL8)QkY2!2P%cMB^kJL2)DJtsimhR|C04G0SK?3)i*eA+YXco1`XN} zqAeG+lG+F^QJ(yj^=5Dw`sJ3QnlS^}4zW`m0Vh|oT3LK;7-(FdT=bgkej_>Tb-jWf zs{(xvMTY`4nI5H9(kbd3RmwMz^1+6(qs}0F9Cc$`=A0j^y7D&Igh6kCq8AXo^I=X| z1Q}D7b6#K*gu2iRU(g9B3Af=xq%-zRLFd*=2{8_miNiJW1 z2waxtibXc*LzuoC>A^@4v@rgz4`Sxcjvd%ulReP6Z_4rC$aOU-XD(#LYc1!Bm)llc z4_ga2;@{+@K(q{3|C>A6u~wGH#=}ID*}fI1$3j^?3*{OIRbskUX2AjmUtwsCvT^k3p+6*3Dn`)(bjN(qT#JZm-@+lxsXF_l z{XBMcoP=YTz-=$3KU`4rrCk5bxtuvk%GVuMcs8mVk$>^DXsEI(W6rUyauF$qNQa&j zFh%I)0KOnl#q$OHnHhWAk#Kb+P;CWjTEp2$2QnmFQy?F6#)jlCq{}=?_hpsQeTPFv zXWUN~n3GPrpTn;jTkNd}X1Vcav7}N~0O9Ag1%ccBw_M2)JWy+i(=vho1`twTCIPDp z0T>df%-EaSCYCG-Tf>8#3dnbXeES#l7X;?vkrmZBkxMBVd`%@x;v90nO3b{~llAf1d}!fs*g36&A0 z6TV!^q^jV&ll*3i6~0=2Gev!X5lJZ!f8g8`^~=)BCB0Rg2KsvEq=K^b4+N3SScfAD zQMrJ?QN6KGiu_i&FH)<@?*jSuFW}-MB)=0y>0>>NDAgZmj;D+7#(3uDT&NfCQbF~O zT?*?X71qxVg|NP=C%cEEz;wc@=WWB1t2ckx2VBwQ0P9oL&+3?gzR ztdb_zgw2dKsOlk(LD|FIbj?}b>x`}N`2ciOe1iODN`b6#=l&!j`IcP8>qtN}dxn&G zv)_QmSeGO(FMb)4v<1FJyE~9u=<@P-P?W^#o9)}kC@<(6(XxIBK z_&PDRi{fsycDRc>u+Hefh=`jS;N%HT?vO?M{t3%*EZWCgZ5q>`zsd^O2%5(>46ekS zTY$f~;tND@*TY6xd%VTH3s`TM?O&o^I!O4i0UX;`t^nK2neWET*o#fbIC>pAG(|rQ;9c%k_${8{+Fh&3i$;juH>VYb&z4Dp7VH)~<@ z@N|Rh<)7z$~&1hjN|0*MKg!-FVB92n)$f*tel`;{4jV$u~uprE4Ab<&Y}X_otxD|n<3qJ z&%~@xp8RVQ)oR<#o{5Y0XtB*LZcuxx%$6=^V={vbQG`xt6PC7}$$fPO0C4IK_tWgC z*i&>MHW9Z+_#ekDF?zR@c$Oyt3@vl{fvCjie}Z+!rBt{@%5j~@#U7U_Oc7yT5*XZK z)maeO2#Y$cGqdXk^m|;+AyPHIk+>v}oiRuxnLo3?ktB9LaKRLfg?mp=o_Dg(n+nZ! zTlj0EaF{c0sqD>^H; z@4#h)o>%AMew_pZfgbGG*JAWk8d|P3Xm4dp!uu&(5*_ZA1Wj`Kvva9LhLGgA$dv4H z`LVgj;-ft{`PJfKTY@bgWEV#d&$S0YbgW7HSgZfx)JJCGZXG$V9gO;h z9uR+__CWlW7it01gVVzcxk&8hkLKg(H=IUF8L?mEzLy^6j9yiKktV@WgVRRcc*J46 zCY<3WjMjvc(6qGM-FPOX{BTV>bc;VXfY*p}OS-T0AJcNf9{d+i&`2php69~@OYV6q zYU^gN?mz`ut(k`>RcY$}@hV+{-}>k-i%wF^n{RaQ1l+j<%it(d34RWyl8W2gQ(Ts( zIEW}igveT(-y+9~Gm(PaqD)V=erWztnqP`t1Y#Ctjb2rO3-p~M!rk17TIH|iQ9+Sa z*HieVIJt_F0gRt&N-4Y@LM0PvyGePHOZgXuvWzL+>_Qd1BdACFlyc%yg?~Xx%8By} zhaIlDtP@__K9KXn0P?@Eh1MC)P5*;bjfFit`x2i%OT=FlW&qX-S z1;=o%uwJ|n3LFoDnqI7$co8$8r6k^szd53;qxo;e@g@&sH8ZHW2S_eyp`pFSB&)Z0 zgm@6VyC$%;s&cEU^Hxs}UG;;wIxoR*QD>)Lc!sa;_{<2dZK(_G9wtgDcPm|cxMUP% zTX4M)f)TN4d@4>m$7w(Y=;@erwa+{N-KiT6{$bw*TeClBpUrQFeQVB)ePp=f+;;+u zQ-f9UfVbnq=;O8Bz1Un)-#PoCN_Y%5RQW*09BjZnfYp0oS!@sd!e2hT%Uc_p_QC|^ zdci340mI&O8-}yWrtl6p>P-445RSc`=@rX@lh+_vSa(Ql!~?f%%d)ziJrvz=7`DdR zVzn>jiU4(=+*)(K?-lG#=+28`a_1$>Ui0o7-v%c854roI|6UL(kstKMpzg25D zkhS~jR<>% z)6#gi$_w9O_es~rJj#o?S1k*wKtXKdi_pdiZE%MI{hC0f0Qx`I2CrcylhOuHmZxt6 zOcLr-I^yC%!o2V0eO3H8%Uqu^X8_u@KKM0eV}Yg^jaxl`g(??IcU;UxzUg)|fM@@r zmQAqO+Z`t|{WPTGmbIqfQuZkRM}Pa*n}X)*% znf^1RyWMngsAo`OHw_YI1YnTEOzEbBNjJHhO19~y0+6PgYSZnan?8ZjHbq5huXR<# zotaBN-HDDEB|ukwXrR+oJ^Em}YNP6hPbCx519A}qq3t6$jo^u6*d(fdP zFv6{NE_p!=fpZ5lc*!F#oTqFg4raj{x#E`hJM(U)gtvQcl~%s_E~!SI4FNs8?Sekn z$yd`E{Q|3cvLBK^7|5h3FRgVeLY$HLzi}CHcF#atTtM#iCBHz!CYIfkB(qLTj%<*& zWo>WorrQYI>ocup!26ZBDtKRHtNojJnY-cROKG9#g*XEv2i}|(N^Cxl@6WEWARr6# zvv*O0gjTxh8WE1oXR95L9$QM*N_MH@alPWU)QsP!Z7E2GmAV%6w3QIfGH}HNZKc}> zpp~u%qWxWsaN@QURnt|Occvw@)Ji$}dlkjx%vQe-U!J~NYxeEtph0XHQ%~#XQakWo zsq-eOJbQA@mpkIOzo&&L{W=)}h7ii=6enaJ?;()0A{9DmpdQmYRU)T zPT!I?94N|WS)pmGGh+*b(UNw~{Gx;F0qA;Wz@&r`{2W_EDOT*HtDy#=)j`Lb>GalM zEBHgN$ATl+ig-Hw=jes$&t8b7XjJ|;H)xgSq`(zX4i2e2{&xK++A4gf2?~5Lp`wNR zvg%FqQT3?r(r^0$F<02IO7oCXA}R1r$i>X=XgI|6YejuW2?zv$ZW3as*M2L9g0wx2 zG&x0n&!!EEag`1;BV@nYhJq{Wu4$>U8}MdFmVLkYWOzYamsVgjSPPXD1Y{4Znv-dTUW0D0tte0JE$W zp6KSoR)+x!DvEV;pkuap9FT`jz{H|F!o}VIBp$vfa#$L#EIrW|EM1^1fhNV=GC&Kc%K{z zZR>Cw4lX*apVMjp?g*}+Ug1Be*7Te%d9YJh!^s8T`0RcVAsq*ExOg{J5uUqvtO_iN zWxPUJTABlvE>)HQEA=(4fzKl7qKJ>bhlrR-5Yj!$45KX7}A3G4{ct8OVKRD4EJRz zf2%e!bbBPcOz<2!J`#~E+lx|W-Kjm@=(KqtLJbogL6|5P3HS{gY31@MX3>)zIN;Is zsu`Ru*IU zA_7ti0-fb-Dxe11yK<-+*upfgdq6X4<1ccf#?TaF8F@evmhl@Oxc&1@B9$JP`G0@_ zn8KcoRDw-yh011pzHO7NpQF_hv(wdyrz( z_YadVD3C(b_hg)cD`W8FUE2Q__GiME@1kb&t9q;1@p0B!v%}(iNzHy3&mOoUs5NWt zgPI*pic#OUU#MW>HS3a;gt1sx9x!owg#yPFnUvcw(-8M=P328Pp~&f`NN3FRSFa~u zaho=9#YAQFM`ScKmsO`-0}O12C@Z!?W;%(|XqPI+<}6_RECmCtY5-m&z{n&3zN;;2 zvnKQr%>7qhbC1}HH+FFAWlz}+fsHlYce0jv0|~x2$Kg@TWlz^(=)_F`aCW#M!CXgI zA%baJRO)dNEG9Lt2+WxDLz1s@$x{SFAcFFV3BbQmUOvqP@Ode~sJ#Jri2%nZ0a&X- zAE4Ijlgiem-REFVF4-EyOdc!ZXYMr&-jn_ zCh&DBz^I=A_#^`W=OzK{tbTK}eoqna02j|RV(;~Ptb3RBlc`Fy@E4@qJll~R>o+vD zewMOv41sS;0Y?1{zyJbVn*^}4`tkW2*s|AgwTFGOi?_@Az4X~G>$gxT-$=@xH+t(g zGPQoj%X2n?pG*Np{S3ee0{kHfU}yEKQ!c*z4tN*2c&YVE7=z=c=)+Hw>vAe zku%0Pv4Nq@lf369YGIJ;z)BrMxdV0M5Xa33?tPLYxMTWYRXo6ZEw;?PVH0MD0svNE z5e!x{Y6J!HE&Id~R5MINGv;~Qupx`GnrW7$@=s~yulhGC_saEB2g#ItfAzh|@J}oJ z$%Ow)GCYK3@(*DCTyK6+4kH8`ox&kG?8d^Qj6-AaL&719Jp?)`>oE4WVLPcH&@>y5 zTrHD?_SgW;!v+%PYbO6ky6vP{!{YmJYc!}zp+LGI&^UWPh9=-Ju3_-{?O^cTSqT-E z_shtET$?dh3&&HE16ObZ2pw`9ksbk(Dc_Hv3lz?nA#UGkGZh3D`Tx?49qQ`K;S)so z5Z@U>tOnvI7?+2jI}qd%co6*JTM(Q8f&>%LVIENIG$mCHb+1DE(n=}#!M%gy{GSOH zBjLF|s#b-Rad4zW*pYYXaYjj`J`6`L;I9Y*Nw&L;CT^9x1mv)Pyo$m6mWt!hlC^Mr zKwJ6-BgcUx9V5fx2+72J0|>9!uTR*{XYR}ptkS`dTuLTp;pHinNq%4%1VWD<1oFW) z2;_{Jo@U8)m_@q`4C-CXGHW4e5`~UEgjuV>=uQliW}z z@r*`&cX6VLbwc=4lpOWlZ9ePt^B(j0EB*Yl`JAAie=(oq^|Ri5o{Ue&f9Lq9+OTnB zN!%%W0s?rv6HlJ#VN*b2zgQF4_+xYo??XC=s({vggUTH_0~g8!K3o&{=*KuAwvq0o z=s~X_Cw0rQ&3K$Xv~i^gkFhvqATCoW&LzdyDyY;b5dTm&`Kk`Cgs#IudOfcunJKuZ z5w{Mw%t5p<4s${0adZoPx)ZEz7|&>91{@b76d6%oT$`y2?0hf;{Yl&dJ>f#v1;&=4 z+=gKBWf#>{Y^n2C#}j#jRp??K5wLdi(Zs5mAV9q=YnAcju|HpL)m%j4# zdH;IwuGM+m?U9|EJ()bUS%Ubr+d^l4CQisWFpy=p5WWxiCf(gaF|Mm+AAXiE)Z>Gj z^Hi1S%&#HQwb#+hy}Iky7`-sL#zGnzNtMMKdJ|P-HOBRAKD0wXvBnbX4q%0Pa8BwZ zv_6hR#7{}xgzQLm7H#U_8ThS7ilV-=`P*DO5%mqyFW5m8s&`S~whMmoAQ+FdIa2)5 zN08z-QnYV?V8I|pef#Rq8bDTo?V1$4k3IyR+6Uj8U$JZ$uc+^B{mDTXx`@FzxxBv- zPp5Iddlk4Ic=zki2H@2LuOS6*2Jm9%7e_~31IXfN;kEo@eO_#RTWoa~V?!!lrLzb+ ztT=i^KtM;FA)xOUTQTP;K)3dJ0Ij8FPDwf6>G%aK-?5m*JHCsE?+|=BJ_4Qrt=!HB zQCsZ`%eLN>YMkW zPBk#QDuIBmHJ`eUznovjXJ(ct#(nt*6kz`x?pg?>2U|6%Ynqi%gB|ukU<+gq10gvP zR^E>emJFNc^d+j=J^;Vs`&^)9R=u#e;)cRe9JJJKo_qFflBqP0KXXYf9r*r#LHO?L zuasmk;#&o{NZEGnAx}a%Qy}WS5Vs~mh+iqo+igVc8&57n!NSN399o)MB zDb8=jplsHkpL~Hv`aAaRtculg3j#M%{NpRI74j7hUY8QWqKa2W;`d%Uv8Xb2v48-7i6P;n50YT*K6q& z#6HkDo`aLW>cw>h1zup=|F^Iy(? zdG`LrIFL8_8*hi*rkyMQWf;WEx-phT2T$f79lKmK zGr-i_83%@VE01pGoCyc1j@@z@!cX=NB@c#L?R`*p3@0ysCd0{E{z*HWoT|*Wv+EjW zk9C<%ygxG6%iw>%b=nZsWqQz9 z-+7xy(<!FUwp{X9C5zF>Z8BUjRAiT@IG}jV#4yFn z4q()G>nAda<7Dg({h2%&b34LEIH3RnP2~0_FppW;&w+wj+2#D>ot3#be+LeI60J5Z z2AXYu!5FcRV5FN6O@s=4ui6v!9r2dik6r*j;NscMqCCs^C#~{qlMAa7^zLIMX{Yz} zBl}zSF12{yo4!YV*ZtGwc!+YmzjAzNuN((cIUa>1`=X@o|2@n0`3{%UYfv0yJ3l6} zt>d4xvivF` zZf;n@K)2c}(D9+pk8cu_-oQUeCVd-Zl1L%y>sIjh(|{iVaEG{zJIT2JP~3OY;C3nZ z!q^#(MM`UVF(3CY>1IuFV4pD)jNce7=i?I+t{tj*MYzt3G9(yJR}G8%?cy&nr=ta% z#_*YQGe@`2{2@k4?7jDJlG~d74c7vr%^~^^%{cC?h}^w;Zqx1~Rn;BQHAM%4BpPV9 zc0k=;VpVRiDmu_mYm5qsAJw>LmUdz0GyigF$cH39HyC#kU;G7DD?E#0A20T016qBN zoAx6~>p)r^(x8!ZKn#m8`IA;7`vcC~wb{Oo{z189FUa^y4k2ToPF9tS6y?eZc$4R2 z-g?R70%?%kn`832Y~(FLo*Y;F0>gt@;dp%_Loq%j-47)89+%Tt@C)vaxi@!?VtbVc-gL;OMU(Lwd8Y6#y9^Tu!BK@@`??#gfOFl}g>@UB|p4$*b(- zbWrYp2vho4{qw-0v-U1Bi@C3kYZ)yA9e6gL8|<8`&tbexN3br`>4#CloABb1 z$wtHTo+6yH`L@5DpwJ!*`(O+gi-`Sd>J;U;- z0ENPr`w%N}<7J5Y4{S#Y?)Ap}e#hMMGed#xnPU3@J4Rt|AnZASg?9q1p;TO}k+oyY z#pV6STs(7MID7XUb8H3n1GY2v9>=LNYinbFtEGLypGyT7#6eUxTwAeh{&0N+BhfZ6 zpzCDzw)8us8~TH;)ZG38VOV9`VHpd`w$Hs0f2}ee7M5z9 zqryFi{BF6FeP%0e%o(;yI=IQqVCL(dOu6W$1oAH)$X?Yx4u@7tCO2aRaw>EsYxFuN z5~~2@P$&cBw`Rs7PNC;%p$#8`ZYAhi-Dt8zVc*^yk0c(7!|L5NWgBX!ud+tOnZ14e zw|ojRRKUqt4|D`Gn}eD1Z?qIQOyd?EZ^@oish^3pR|_mfKL#Ky&}MY<1_3y z;wQ(8jri#p23j+(ZJq)pY`~sT8!E+K(!d^C%iqz$Y?NdF^Aeh;k_P{hzE$5`T5%Ps z*B|K^EKB%Dry!9)gK>l9VV(<#od&Qk|HXXu*G7Fm7HGL4C9M#e=X2W0oXMBVLt7$r<5_c*5DTE&ccsQp;%}^7V4)8eL zfxKHG2NQDB#mSJk*IBlc?nnav=mUU1tKg0bD{X_}uZ9g^vDmuoD#K5?HL$wBdUAxv z`?Tb{NNPGr5d!AOBv&)}LMeg!oN7oKRI#xa=X(VC(D5q#T&F*4U|_1yD{I_#X*2Co zgOxVwd?H|HDJ&h__vz1M2e;c6FTklEn}`+Bc>u(U=qmp4u83qP5&!3pwSc2AF*#a* zN0bO~x?%M?lHJp^FZQF^qt*nLqC+B=F@$E?tw{m&xxUIgzg0 zvb^Biq4cD3_(msC{;DWR`Y={B$X~s`2TRTdMGNbRRpDT9C&a14m~#!*zewLGwX+{j ztWzAUK3=TT4Ax^FtR>3fiNxybU}bx;f~w;;I^~#c@GN4yd7+3Q)a>(Om|ij7!QjCt z*o^k~fbpP%u}2z={m?Rd>M`%L(AClInOzYGrmAu&-q2V%40P<{H6vr?G5k6lUu@1U z?wF$`&+&)P@ko-U3ngE`l82*Yqah~w6ebT+hP>RAR-Egw{(=%1Ovp_WOl7@I;a`EB z_GZ(lG=<|bVOyJJc6r`6!d#!OQ(*ZViffhPbMJy;9Vi->O!6Wo&(nguEFJ6r-L~y1 zDwpq{zY(bvfJ44r$zM)7`El|lxrE7wrX_z@TJm9}#{PoA;#mw9Por;kU56BDkAB)7 z_aTuZh<9TtaU_|yS>%oP17YaAUMIOcMQ#q_J0|{WUe1XDfMEI-ll(#~wLe;itTkac zmUg%hI0GVAPKB0V&13|qexh}s@(wCl_;Zd;hPaM2q2<#P0Z$>|AOJeIRh6@38I|<@ zu83Scg)L9#>Z@4*D3EKg{0r#53dwI=v^9m4XW=>~{x}$0qnw05^EPZi5&w(1Z-K9S z$l||gb1S8FQDgQ{uIUs7>`TV7I7yp6dAMtkz82FKbd|Q zYBnnRbVCzcB6UzS8a>2J0W!Cv`Crhl43<*wM#CDQe8nwA+_Mpj9t*LDZ`WtUKVI`@ zGB5l^^{c;PlF0B(`HI7I1~3H{`tN+TCvSZyUoO1+57g{gitK3p8m!19GCWhh;*kCP z2^vyjJ$9oti45KA14yau{ABew67>jCJ;`_8%qAP^bzaCa4}$n}kr!VfWg%AreS;y6 zg~?z+D{t>*nJ7&3^v@|w)IacFg0&_jqW)*r+x0(F$DlW&7d{F^&4ez6pMxF;Q z$F8IEQKX>fsPQXtu%zUyA!FCwhB@H6vbvtbvsYq}kfB*}n57T0fH-aoLN>lif^pO$ zJ&r%9J7hzVjW|(S!c6rZLa}`9QN6g!WfL@3eqW&AAStvijXz zTBg-K4rWMe%?VDcE84xm|8zr7Uy3>-t0$C9d_bGiX*quyQmI51tuGds2J%1pD|G{y^u*M)yW z2RBWdf)Gn`JyEJm6o(gL;9`s@Cg!*TuH;~ZDRpxAJe!4$4E;CjbaesrEf{TopA&hS zckVFDZu~e@BP@bO$x`1i&37;J%|Ab*XtZZYoa}~!3CN-U@``W1h zS%h4!>zZ)`m8>8bi+S~X$ot`0g4vtR_&vtD*gCiF*JG305WklQW+xyJ<*8;F!^Nw( zF%BPzvafJQi0u`59NGu#1~R}!ze(o77cThWHSvxfDEQt8zb2z zu z4A{h9!T9UD!njh^NHE6c8_0YoAzv&PB4uc3mr{Q9xL=WSg8jnU;tty^&CRCl;5rNo zuTT-*vl_fTlt&8y$Lmdy1sXJru&2ExPytYfZQYaHLFA-$apJp!75?SXJ8NN+VZqWT zuLccnrQ5O?!f9HZ`gc&Af1l}`!S?E5$ZNx39mdvJg|ksuC_0r;{2cD&$O2B>^ogEL zyIf~4I{_v;SQq$90Ao-5R_k1w39_`#HRAtWJ0w^~>s)KB^Iw&zfn@3=Fa;o5XK@g3 zj9xTQta1|~Fl#$HxL68ePB(0R8+p1(o|WLQ8Wtr`Ucw%n3WmY-1B&}C!mS<6`dbMv zW4z6x7Xz8(7nuAqlI7hP+yxo?Zp}S^9h1scl=Z$}M)6Ji7Xc8qUWDElW^Hp9kCZvj{RC>Gp2goD>=um&VfLdHEDn*!Bb zDVFPx-oqqU7q8&`jgAu!_BKY(I0jWDQ=i7@kOA_$3D&3@RfgNmW!3Ci?KGi8aG~VM zcMgX#Dm4((zk+Z?1;tmt##a~f%S$Jmp!ps>04|6Cw;5-s&x=IC`hk5L~TEa z;(-R~b_ZxI;JPy8XEs^_Xbv5{j%SHz8YjbiEIxC z86Gmg8#>r^kw-=Bu3NgsVy+=VFG49Wq_V2{7&Mc;F=U7Sla@P92fbDhBc>$1F>=>X z;jcf6{V)X<*AGAUv*;x%UiK`H4z3bwWflm}d;{E{f4bOCpfaNrvkrJH6Hi7Wx_mrS z1KhDSVZe4FSUSLVrY1mvHDR)@dL3Ec4T8hi70CIFUxIiJxn6=^U`u2bh5-2(8Nqka z+=I)wxQw?lV>vP=Uftq6loXFIB-Lp+I)w;EI0(9`PW1k8!jG%Yw~y?)+m~5ZvK|pq z?@;~cKoC=c+Ew6S`AABAt5^dQU(NWZ5zicO`*jRpv+iekbtRN&0iXcx=-N9MlO;6R zL?3s%piO#7B);VWLhq+syjp{kQ#wgumM$({_2z5U#Kw@(OX^UtEwp%kh*hRM>!oOyxKeAH#5&X=4ZE6OBidQiV zHIOe6vR)yxUsIR^Flxjv7SI_AI=z5^NT(+~i$ODqXl?}>q}0g!dl|d}UOr;*m_8l1 zk7j>6wJ!;wF|cr0fccg$G}(Q)Jc?+r??vnf3U(t$-Uz5F>1||2FDVYgKi)VBMNZrm zjL5(j5ZhOU!q6>NszVOQgj*Xp6#dy zy|}=8kKBe=AjLI9krsQu+-rBO(r{M zDb6of0Oy7wQu?Y8h7g#Q*@82RTdxshnSww-xtV~$6itO|Jba!c%)_xT^8hoC6;P<8 zZYRuSg_%cp8CJ4MyOL=aBdsjz&*>4E@gB0!A=EuRI2RE1>A_j}jW)2I%8h{(COT%) zeTh=aAhzC02?kOG1q&=|xOf?r%FjZ|{NLOv@@YM?tUX2KfGkqTdF{6t0(F3vW5s_` z0xuH!S%t2%p>3F}73N;TRK~*8wD-r(PBl`nv-28*q&qtYyo0@%rS$O23tv&47rw5h z{Sa)Ul^_FLasJ96Zlmdox3TYIwdP?(HP$G3eFN1VSnvqP!6S25S|^xgOA$k07JuGf z4$j}m7Fj^VxpEoRf%XCp!e+^2u83;;*n^>rzav8*Acni*F!Wh!Qg3GJ_1;v{U^U8| z6_(8KQ=!;K5&l#!ylAjVJ({VROf_DvCVo%Gr+MPnuuyDK*iSZtA0wQlX@tl8GOCf_hYZ4-(`fTUif*e6f z&C)jiu%>QahSaZ4770M+KDBVv<1CghyodF6D4g01YZs?d+Pm1^&tte|iIpD<4-s4W zv*O-S!BNC0-z5TfC`n;$^h5oq!$(soPW>0-Y*VnhZ5JI3%~B3&te`&^lEXaZ5IsUJ z{PUhIMn%DRah*{G^BNGgH8BIW*EPFY1ju0a-m%&LjFxx!tw_MWXeooFUeu4jDN-RB z^i|3^e$NAmTC=p-TSYJBKy;H*Hm*c-mV)xVC#h&{#`^*c(sdZ`1IF9;FpB&Hz%X0XU@Jw1KPY!v~@^8jl8l12o?bW*p6ai*!CC3m#J@Qy}~?3nEPFr z_Umi_GmAN^(%A$Zsi0D&hs(I4715B$W(HkJq-7N)qIUfQ#g;;BKb|0E zZ4HGdvOxhW4`FoTCzKqUP;^nQkM5jXd3EV;}wNV9b4V1<1J z&Nl3UDyst!2RbwfzV@Rv!SUNkW!}xdlft94J`}bST!FJ-6Pmj&`WYK8r!lRPO?lQk zcwDqKT!{S~T#+rT%@)?W(?QC;69P6L3P(9W;jpj>dv`fZsO^W(>pUXCbdYKRC4jIa z!9Qq4Z!j#|S#45Drd#MiqZk=lun0T{mFGIUMVqt}nKl4vIr|s&Wozcm;b_5w(1ORd zl~WWr8qLQqQ}H``ILG|jS=8DIrA%PMZy#qz3G8O>!p6bo5_SS%;Z^Fv`+8Tfbmqr3 zi>7pPlo#Br#9*e@zRMs#3I`MCg`*LD#oFb1PNv1zLvRz{7r+W~cdd&J-%?Ft>jL!v zL7Tg+)4&9~%a;IX_s{1OtGBA=Ig9W<9wf&FM6$w!Q}nXr=KYj6KWgoDF+h0Ft~>Xm z#N#kN6>-s%_~20ju3E@*J8w?yv-EIPf-`GT(YaZOHOgV)Phfn1#>cWeiFeMrOmpQf zW^JM_oST8QJNms8W8w=??2;Fucl19Gj5upk&mgJCd3lpiqXN@X$%y!9@6h6F`DBh=9pk|z=~$7ku<4=Odah>4HvHFpai68lwgb+ zqtm5!<(riiTs^6mlm{>S1Xp8jajX*Bm8iBKE3C~M8NOomNNYoAz}irFFSJ4#*0q|| znJl$>uz30NMp~b-?-&3(wige{3<<8oBVfVRDQz&v;vbod?Sc4S*_gN!m2%ZWP_7Wl zJVXJXdQN#v;!RAPfW*?xM-&alpUD@OZr*S5E~T3@ZaTC5?9$DLBA=s;-(MJG(b9P(A<@A_ny;{N`CnQ zAYV981c}3Ux!uZI<@F_Vg2QQfW*k0RbA_3!G$vO!Ib`FUjfr0|iN5d^Xqe4A%zJ+S zbWfOT-i`U_qx{9m8HVht38<{ZHI<*z6W3JKjRvNlSLdcfD*OpO(gT3@m8hQe76I{` z7>v7b+rGb4lu_m^a>i~1l`=AP0ZV=7F)Axom0McvEoB7-WVkK?~Ax z&@OJBW%{N{QU8)ktGFDjRsvNu%&n{-BdkHHJMD)VPRBQ1;l=BIflyg1WWX*DSFDvBcQ*&_)rCv#{Sk>d8e;FS>(>O!!7(l4(j{|Q$t+({xAsNQ*I97F@57px zbP+CS0B(SNwi6d)*G(Q7Pf(L1G7Oj{JI68~L|$R4E`-B2vW_aBO>Moo4nrCoKF9MC zD3{@>%Yu|CmV=`uS6N*KFf=I!YDEH}xmp-7QaI0Oi(PQ8f}r5MAqfg1P5!|m%eT%Q5kH2s5f-G^`Z7_n>9Cay10%>$b6#ZJ_EFjE@c*O((0$S@ zVLco^s1w;;G|EXE)UOW!c;O(=`aC+-FJE!s;}m=Xf>`i;fCFWQ!>pI920(37WHge(=Ollk7@*A=frGuMwjQnPib2z86Ma1vf$`}Hb(#rB8 zj51u7k%{5V_x)Ld6^kh7rKn}F{c*Hu3nO{`209kQ(y|OBMqeSgm<-gFu^a%(1ZtS{R{#bD5^ak3dw_ziPGOA< z&m1F~hN;9m&^P1L@an^EQOt>#(@1b1hv1m>=zSRVLep4~4=k=D0B1p*}xP%Ou4TMg)^d$9|jmLaXSl(MMEJL zEXB{4^k*fCS_%zDj}a@=Vh@33DxRxVjp+FMD!h)ifW)gZZAf7XCxHAuqd z#*(al5SfkCRw&7RN%AX}Lkh({Y(;c}OXPV}U38j<)mJp%`hOtb!kB!)y0$kkAFfI? zKC}UN6}hAX6GAm6LxLTXcL&K1Y}7t-M6t@dkC9JrLo0U&UpJzH04J~o!diZteNbAzOIN*oawihAtF{^SXxsmvSEv#A#B zsGUikoVNjSAtRcIQvwW|B8af$RdvLpC)kczpt$ZF2?k(DralYhc<>M^mEocyixzTAB1qKO{wnC<%v|qh+PPfuo~-G!nf?;eHMdl~Nt({2IY>fRKm{Dd^>gX9u#}EfU-SFiRI6}q zC{kEGipxrCx!3%flLG>Ca=@19Z{~OwIci2(Z{?xehkH*`Lu$8y%7P``PnF#Z#XkQ1 zLwBsEOkYV05R~++l0Z&XUG7=O(&K$h)YE+OOIAtbEL3cym>7Yu zO^kxanHV2QxlI`wva|SUVhn!B#{9NDl^hd8O)+Lflm;e76fDlfB&2mfYrt100>}sk zN+3W+MIo0%jgMl-2BwK-K2V`p+qOa=uKu3!*nV)m_po}F}%BpZa83Tzk= z6XPJXO-z4>RW?PL3{Y&Q5cy5`pk0P$aZJlt7&Qne-%taQ6YUBus64nVMweZ5tqOHe-N>Fxwa> zTiFuAQ0&Ia?9cSUNLQj>1LR}Ufk=Yk$VDzSKvHUBfaG@<21s+z0NFWcfSer34G@{L zD*k}KFhC6A9b}5OZ=nIAf$Qcn^?v?m z&(5nuF3O6Pcy*4h#3@WGxRLjE;E^#bNb93Mw(9Fg2^qnio{`YXM`W_xZF}Dg02LJA@Fo`lJ z>rGbSN-y{SmlTHA*5cg2IqWCl*YG$R+I*O1IL(1+r$$&VOvlCB{<|BcT2QWy@ftO5 zYqEMNk*YwQ6em^(j|E~Y%@*C#Qu2Z6>9oOG`fR6^-LABi|Qq-5a|W zx2UA9dkm7g6I^0dL`;>5FJ$~Aau35?*wldAS&jvWZ9;F=+{Y0r4^UVHZchbatd?P= z{tYY9O#< zO9`!OUA)!kwmHsf;1R>v3%8)gw4&Jbm0egdLt7iAB(|7}PC%ojz4F4G+5{LlGD?Gc z-yG6yh#udG=8TMT4ZHW0FD%wh(Y~B`XAy-|QBvCH(jz62_JW_fpq(Mx3*^+^x)>Xc z{_$NR8G$9Z^U^(Ql-e(JjIwY8ctak|7o9a>iRe07*n*3yu~ONII%KvWF+TZmlXyF_?S3Lguh|icYBt@klH5dQ&Nvy0ac{ z@$Ge8HBpWm)LdmRoecPufhK$Z+@yU!8)$bm)gqg8vhz7lfo>+y^$rkMM!9qh%L_!$ zD@-9_MmsQy2p8Q^KrQO9)hd%`@%e)#@NT7d{viEKmV2XszIT>}h%!%q;06rK%3+9)Odb*ikevE0y87gn7_`$pDNEK}CcW zxSbG_4FtCjbGik}Eu%8fQjCiq0> zE^jORU4);m@YVXbsUdKfW@xg-^p0k@m>CL82H822Hf2(WGWB_CodSg(?GMA-9IQ8%oz_9_@(mrlP-nt5u)(mT@|<<4|X31I;c@o2%@b=wvSB6h4+38xgRWnhx zQ zIv6(Q0wy#=U5gCB&-Ve~>s@03*i|=1XA%WkeOR|zOw-bN-F1n=zK@OHu_IX5eLF95 z;Gv+O2a95ToX1t$4lrIe>9Gtzm;j}504nYyD8DYmy=_rEZRyhP2R3wR4GfZYX|0cOJI?IE=sJ4* z($xE#(3eeL$v(@oG-c0{b9L}ui~&;Y$_{49(Mb!*m5x<0|Ken#8S@YfSveOMySctI z1_Vj;PvY=dP5|=WZ$z0KYiMZ~c#yI)=30pQj$#$+7%=Ouh3M#>^Evd7Cu$8G^;Zb^ zU>Gk>2wg8TP3+CYZb&TMJg^A9WycmB);Gqg(~tp-A7`8N}_nUQ`Wi9uHad!my8|8SA^rnV=Z88ToSk6=|QwVpR7f!I4 z)QgyUwkH*hWmY?0((0XwBEs+c90pzaeYeg*;UfNkfc8B?Kx22rmlDELH~;x8@FFeF z(|3d6Iermpr)zFaYM7~|p48;Cz}ZWY-?S_?0%KTLHqzSZ14TTO6moYsKLv%C?EX=%)XOKq%zjBRm3DOWAc0? z!|8e;ovz=9isAS~IXxtKjN(;>8G&+M8Jn|jp!^f4P}_Wb*n|A5mCm1u=o+CDtVaDt z3g>C>@h%eLdJh;#T9!AW%c3;pvvH%2+e=@g_>LgH{ejQ)zC8cx8Gkw_f|GX6^Gt7n z(E?8PH3pwG9W%BaUx9HeMQv2VXDiQYGbV07>4w>H7gn}y(^ew$#p{)uSrKp(=}svI zt06)i?y)XxbOuogd?!%pifDlc(L_abHW7_9h{9dUPzKhoWNh3x2Vc9B|H?!H~=IOW_3UlFP+gan70aO@`R#Lr~XG$p>5(b@R) zP287ExEexxWoM?8tBM>#Fw&|UX}wlik%Qk>bERz3d6gT}cZ|a(AQgCh(Q8*oVX9F} zHBe_kWJb_*osn4jDC#|?bXOEBRIY+s9fsguO<|Pz9; z>QxGxjln90rMg=hD1SnD_hMZ%fKfU`JpVYd>8Mjz$6V?M)) zgRhw4Eb8wP{y;_$F@$69;~L{FTo{|zyn{AUQimY}wHLCcjucmp!ULgt0%#>yAU{nOhkFt^~-c`G+s34YYMgg)`)#qs~=1dF4ymR|D;;4mNYx25ysy0zzKwW%7 zVChV1dD05}YC(LawlAP-rjCk^iOsYq*A>(Jgq%_mRL(->`r}G)y?UE)4Y_o|^*0IC zyd!ppB@k^J1a>`|u~>;;M&cI=@f~Z%jlYThvib4iLS?gYq3O%;GpCLKY>$OCZ4Z$b zS83~&wZ<7>ZSgOZi(xG{6N)Yt)O9}g9;1R(TY5D3;PUSW{*Xzniep{H#d#igP6*pR0=f?oQD*B&coMGi|2==6fimMyb^l+5_}-Z1L3 z6;V;sISm=G;0k9OI0@!d#()wb;U# zfwr(D?Xg4E;iEFB!#g+t$P~NRH)-`udl6}6(QY~2CueJUP)u_=cC(^*m|#_k0vl<$ zgHd*tZ!di_sDFFEIAJXIFj&=g6&h3Y#8a}V`Yb!t)yd&-wCR6-p06JzEuvY z`zcijB+28!I6`C2Hf13{YNEK+!u8Ph2A7$k9mi_LUIo$p8%wEDNDe||?@MOQ#trIH zg48%5s9y`lAn4p^Y|aN+#T&t@WE`xxk6^|dOh!Kaz10TDRscT%&T;_stV7gF#|>nr z3=#h$*Y<>U#BMh|2Vx7e`c8kWKTb}vdOYxFbf!fU@Q=*5B-$96-jnoG{Q54WhqR_pDner8f;y9vsog!M^U8lD_kLw;hFLk zhhh_qK--;);$}sWt|<0a6d8&_B9p&K@HA1Jp(svO6q$AJ+^|w(Lm^4THe~|q2Ts3p(IObdb#PVyl1)7Z~O$KTg z;aEc4xDoyA_+PL@Rg24^e!-jZ)3ralxdfJQ+Be%^>T%ug;>I9Ph~X^i;vvELfxq;_ zcSn2(N2tT{5^!Zmvj#tM^4`-G$l!qUtf`^}B zwt(hoO%E5&=N{ei4XLoZxCu60R;udI8SMwpO2AF`;;B$P{~(?h6we>K#*@R2z+}0F zS#Cp?oIL|Gj*)Jl&eIEgj6&INChxF-rW5GyrEVOejw7lQmHH=_Nq2{riN%Ge2B$Pr zSqeOk=M-czssq#WK1)shuWv>E?!A)-t;Iq` z`#YeGzBnGzs8xgZ{5X#k{-y{%ycq~KK!T{ndnA3wQuxzxrWQ^9N0|R{=1(F8sYL@= zM8KQ70OS@e^lt7C;Mh-&<&qQolx)QR)1@BHjjPq6MA% z3aGl||NToK!)FDf|5$iscSMO;(PJiy>INAkwW6O)mbO^Sb-klh20ybBKV+F?vD)-=ChgIda!N*@U&eRm@jr?bxK3rBS+ds>hHB9c27zcd;l2>BR;R-+L~gXg z+Q-Jm;5qPkiw0;d}m!s+u7UsisV|nAo@W{RbXM^+RA49{h$(;1C?-L z_D_P{BVe4Fu%EC8tXMOb9`PK7EGOijT*yor6$GnMlQn?gUfDboaxs(NbtY_*5K|v? zoMoLUMQ{%Ahk*`7$tCj%QTWjFVa zB#IXgZvvmoK9$zN-Q1kRd0W(=3qTKj#4#Y0yrEq%O&Xe@x?EA+LsUful@U%2$knhh zUZF785vD+4WaDxFqLa~aqzbtMUtuY=KylmTbR^P!^1HX7Aa8IH!|X0zqnP(3<{vjo zL2#=iHt31j{{^D4$9t0(Gri$;G8E&!HHest6tk4;CdK^HBw(IR%tmxY8vhvM%avla zxp5C7G&k<4+Szk zXJ`tKbWJ_NQ3phr!}%^i`~m%`&>!cWz#|vpOtFlN^lT!SGpBl9x{>AoMB0WyW|%Vo zvj}i&3;@{C6R-|-p6M*dG641_aZ!;KybFE@LxOh&?tTd4SNt{yZC%lr(?Uat{Gb@* zhPnaRj{v`Hkm`{!n2GPk_)jI?DbZ9F_vaIl^cs`w)?dB@g`49nh-C+Em;mgfr{q8z8U~~uC@jeA}>9d*qK6(R+dOOpwbRrJ; zwX#e;FR+jsO~Kkq4bUjka#GL}%uxCNiTOq&AMTnuUNsQsrp(aAQkW5hIYMybF_k^! zf~Z=nAhrFt_zYpqum>~zfaOm}3mFDr2!Ry|bKBoc>bh0X%VYV?toeiMk!`7DGnQYr z{kUqjqqf{!BW>B42;QqqM2Kx7v6%^mi7#gS7@?BS>f~Y*Mnx17f`T+Iz{~;)&jO4Y zQ{-|9UN*IGLnXR19@pcheLhw-N?K@IXPfeq#19`!WwgNjvj1vDw-QA%yO$0L%?XOf zHP1)aAa7&Wi^S5nD_`1p{(QVj8ALEIZT^wGNLWd!u4mJQ;)Hs%w%*5 zp)R(eaKcu=0*}^V_2ya;23qz@m>#!ZV&^oEqMyo~{p_3u|7{L_jvyd_3_@-BPy`U= z30}oNoA`NYA{GT3qrV4!U>A+4)qJhjAm0kj2aTcL2eG_lUm5Ea-1;X(aL(wERRqd& zh+#T0)S|uIhJU!;GT1q7H&Q4SVf0yQU?&l_P^ooVY@Hq0%F;bAcNAB)X$%y;#;fpE zPT;&e zbyJNZ6TSAfnJ9yP8AUcp35v{k2)D3O^*E=b8+yn2U`B>UuPHOAxU!P@>>*5akkS3)vR|MKNRd}-_5%s$101SCi%bJ)uMHX-+@i4G zOaScawE|mb>_lC10e}0r2$Ly*LNj#B>`ydfk=rTLwN18E%XL|*=<1P4$zjUDNb%@VdjV!B&!fflIc4br;~OumY#2-`sVfc$-v-DS4^anM5wkfki@*qJb3lOlYI{=ka{p zqQ#qhB?@s-i&PNyH>7KZP0+j@drIDUvPNR;6WbEZ>oDd!MDt;0q0QB#9m=#GNW+Zn z5;oN!G%9D`$vty2ZaS)s#~*U~1uC+rX5su&xc|*F!wwh`?B=5m+D?;1ye}CCfFr|% z8IPsOwu1yFh>An|GUjGi#zlrcb_F;nU*ohrGb}f$WlX)&lWOyC(#JFXbfjaVc2Lg# z#TUkNK^8i(%H4jgO&3BY-2u$m%fUPamNmA3hX21|tlWMaROLyC3aZkG075T~I|t~F zg-`qvn!p@~7fFtRGo2hU=d0Wt-I#;54#yn#RL2F2JjW?jp~PRq)u`#4I$6Wk67I3b z>r_N;5?TrXKwux?OqN=vlTO?$i3?Ua5i=Ah9UZU}cYGc*&MaW3tT=UGWi>3@1GuAs z^L@U`3Y@LP+<||6MW;%F#CcTgFa?THu{R{HYZZGIt6`ND zmlY#x;=;;+=NT7HE!GCWhh;+DTZ5kHThFIdqZ!*=A-Ue>OWJq1bf1QW`d&WPc*wJ8Ya=Q!qy81 z?xki94Vll9oHN#mb;iKcxBx;n(%k&@63VI`xV}AKy_{veh?@FHCf>>Q0bG zIP^4d7=55wI=hY#);c2o8%{&Jw9?!A;P-g`j`TeSP>4drm3iOa2-6km-#R3l|qdKN|KUrz`T+s6=F;6>k+n8f&_Ib)zg5&yq6j$V@y|5AKhDWLa{ z5KxV_@S)MeywSgNqL1=MALvA%=Z!wyiO$t%Wn=iMQjBA~pa(mk?|8XuaJVC%D2Q_R zyc7MjH+r@cz0n)J#)*E@8(r^2FY-qJ!-?*KlQ$Gv%W~6rs6xcw1%@uTJ0;gsDNh_K zdi>dNPh8o%Qc-VfoR;|&d}xhq8Rh|q+Kb^{2gANz268SE41SGM z44n>!XNdkJc7j!H>lvtrRI^;yJ^YZYf!^5lI=*3R-LT~ibPV{5m==+@LyS&l2 zInmE}Iho^da+yMF&7JWT7Q!O_v%DCFI~Z>8f*!M9cY2Qzo<={0=T zXj+WEjTh7C#%`5AZQLr-?3D2AQ&E3`kj3`C%dv&w#R$b-vq7&ebyy^-1Apdz#O(LVaLE$R24k&iNa1WSS3Dcc$$^r{ z(2->B9APfM8b^JxQahkiT=i0jCY8Hpi#^%QfrZ}8wx5=%It-rpX70~!nbMfAjtTPA zEoSQK1zLtW#{1u8C5v&OubyjJIM>&&8OuFm6M-)PFt(cmN0v4X2}#xoUU5@X-TOa@}i4z3K$1fwgvVRgJG z7!8zH%MjFmsM4QE`VoJge#u^$p5?|wO}^snz;l<98?50?k?WsgIQa!M6JyWrl9Roa zlP4~uT!N)w;}!i`iQ<()gDc~$(D9(2NTEXL*2UG;4N19Kj%|CqA(1Gs8cA3c4z9`$ zHU;v7O)5Rvybpja%%zWHkaRr$;3eQIxaw%+LWMtyGa&kVdtkOmz35mB&ELI%SO%_V zkks+zJYcD6PpyI<8(LK2IcRx{E7RMi;@bRpnA%|Ue}5$@aN@zJbyK&8a2>X1 zjbq00LbRnfR*HQ{3U{vBkp~I)d~%{j8!CC&jXU4iiE5e zMm@x$AZFlJ6K;LIO)MH3zZsR$94-dgA43BgL(4yh^nO;dp#cq{<)7i3zG!JyADf4G z+?Oa1Q;54laVO=$z!eZ~Ea1Y$EEdXavk}ijDkQvc--TZhl#{p0^CU}G%`9BU3=F^E>k2&6UpE%kWeEw*|ibR#dt;V z#W_Im6P9soxcFK#aP@?HQ{g;S5w8*6nsAwq$9cKZzMeR51x{A2Op@WgAtM7i;itg7 zZnC<`_$j}cP0!eYHE7p~S5_}KBUfHIDx=i@zgqDPTI5>`P~^>~vBmJydxIt$3m$ zIf+O{D3YWt$iVGRxcwbscJqm^GqmFP7Ua?1_`17Md3fP$;9j=0YrQdWcM|Ra!2N0~ zh5?Id#XI0(lJamYaS!qE5U=9~t_R`v^zzVED~{*EW6k1m-=sYJ{Vd>qaY+{y8X29o z!&YoO;;tZ)NnIe>VJj|HE)FAt;}tA=H&dcx$5TR{UqBT|=A;7XQ{( z+~=oct#}JIuGkyzbStJ87&_$;I%D?D*>JIq`Sjd~-=rX%J0wg$;&K^eL8)}$<5-A& z@oie<1$iiP{mZQMpwkyi|8Ad~s*|b3CV3<`#&}(ZZIDFcbq9oD7u)u&-_Z!<|81!& zwbaYbM5$LT+EJ-xB9^4oPoi2AOMQXIWV6)v$>xw;|Fc!Mg)rB~8o)fPH`HRSp~lLe zJJJePU+%f0pEvx3gS8l#$-uZ)2gdkLc&S#Dfv?Mo^PpsbGQdN&S?8kl!@0fY6Kuu- z&PIZP@mv`gGdq4m8SYoH)QqP7za(NI(O|U)uG)nwC0vAXW;@vApTPKH%|DO#L2#04 z4}^KW6I=xGL{3aBhQ^#2Hk5sq5~}#IC@n8s0A0-8#d^b9v%T30t|}{8Cm^}}5G?t<6HmC8U;Ii)KqW?g^=B5A$w@C_C|q9Gvp z;l(ZsEG>C;xC9M-E=DOe>JkvF9nRf;Ih2r#DJUnK!6qn1pyH$MoWFx?Ov#S>N@g!| z&s$8w-b@&l6z*mjJI*EN^vPMELBL)AmoS$(zyS+txte5|j3$!#MBvNle<( zfuzTFprs47XeXS3qUA2!QKjujOJ7thU!D#uKQHJ4OQayJ3oR`Kmqtr};NnIX3bt6O z%pjHfx}s91T}78#xs8ycfkpSD^|Fj)4Gjt3+P%)b>YCPrSl07EzL;Ox8A79_7fB1 zr=vq|KOiywVl-A=xf?+=~{z0aQyD_9FFSVt_wd5jk8dSVDq?xc$0)KR{qEz%@eylHB~2nR2Q z$II-p`$cAhFZTNTm_1#LfFLs*v*g>oi83Skk>N}!%b3*s@td%ZivPLD{LZNHE4>mi zi_A!E47QM~iVtqvRhGirQt>%*$Q3PEaUR=(73X%<-nUPKR(||EwAXmtnfOJFZ)7~K zfx|Kl`sKU~(l!T&O zmEZS{5xc-+Gqu|e=#Nqg2av+^&&8K`w5D#$Me4np$`Y5BY)iwwLTSl%1ls)k(HU6Z z_lV-o#ix3(uwLr-SL*w$e^jeR+?Pc!WOG|HOyfXk7%HIV6UJ9AuMFDvmy9tcNmW$ z!R8_ySMK}a902BUI{2E@Q|YI+Wp)c}nkPz!)6gP*|?$mLq4K#2nPXVQ|`{GCpI1Js>Bvn2oL zkm#on7*9EWj{G4c$+Le{_IQD{OJYJxs0@AzZifUn@!)4PrELIOFPhP(u7+}7)++uU za>TZ5M>roMER2Q`VO-ztf|}vICVyFH8U~bAT9mP;g3E88rTW%EynjJeQt$EQ>KmPN zO8UX^hChnVrw2}UeaSxf_!DD&k-Z=k|Hb$X*PeIqvp|5Y=9Ib=JfPYgT@)ShBK|DI zhtOr=0yH^l+88|uXA?noA0}Ac0MgrlUONaq2Qnt?1z+-qe$y+rFD`3;J#DG_`f-q#Tg0(xD8ayrpijH+W@7~ECA};u@b*6 zmhNRn^2ZB6${L*3Bf39S!rj}C_#cprX8Z!s8Frp&$YZ5P+hHAyM*-^qccKC4GRc%3 z+*-0bzRu?ty|~)@j)JVtQ{m_cycA?!FPk?jzMjj0%57y?xMcc>A^ zDeNuu%*pP5%dkphEHQ1@=m@}bvkrr}!`~b#+mGKeNV@&Fdbn%_KYgI5x#Y6kk)h8Z zQ}l}(9x7SKM>b9LeT;qw(Wt*kk}ZudjbRZgo_D-9!=~*rZ2OBCEjiIkG^j*RBKBe- zx|Kvt^udh2M2Y@#0C@$`tveu!_eExU?Um|fy<|1c%%(qHnFG|_U5Y09AB^7gloT`k zD-_dYSmNw6pe{CHEQGB}*gY>rb4>nRqP_>H*(srnCVB{?Z&8Z-O13QQc_fyx16LUB z0*Zr!(W0Z_igFQcW1B3v2)*w+&PnEFMP3N9r&(fjd4@;X3auY zb1Mc1px&84%xx}7cqT378Q1%Gc)mt?p1Wh7UmRlRZba^!NyXg1FHoNQ?U?7O$eNsI z*u*SrGjCHZY{tdpxU(VgMN#*KHnbt$NfKC)Q_#`Wj zOCJVtK$R=@_1FlyrNBna$cD}~%%v)~P?b&eL`FY{Xk8 zOY~e!RNL>+=HbqHI8SFwMiIiGhat&*4B}j4?t`{+wOTxbmu7;$WgJ~beNaVn^Qe8J ziHy1G6$T)_=sL*N8LQDI$}OUrKMVQ2V;d(`a_-?tbKgh8tiI*KlP#tPS^t!E6M?P!Byr!`(%u^zDBR$>6&&y6o|7s2@A}Qak!u zM2nLY-hwq*Mqp3}bFxy;-0xDc1vR`Jy2Yqur{c369H~$&nNY zN+(+pNfQ^Pvpn*cQS;0EtxoDceHXf>EdAjbexwL)90J? zW~K+c>FMUxI$WTzTz`ge|4FZ1p~JH(^ST)phRB@`yxRd6-fmB6qkpNXIM|@imF=5| z{Dnu!wyAvJ;48kqvL#g0ZbiqLAGetwzM|bUCZ%Y%_Wc_wt^w4f_EYr7Enn&+%Vy9!@}1|cVVk?TsgpamEAQJrn%ZPvYo}NA= z1vH>pBTj(4Zl8lDByE}9h)Eg1lnF>d&RryDnt4e*X-~pI5xg>cGz6FU+SBm&vWDPN zbOBKONa12Ly&N_j(XzN$0TH3Ra_8R%6xTObo2RLp8|{ z2K)LHp3$K|`H@JrKkh!z`S2Cprm-o33VDQ2PRcG{v^VNxsaX8T(8sB&5!7U;taI<8 z-i}?^+DUtuU#XXbum=Ts%>*9)fDB=|3xxCH?B+mu4e&Xcmmzc8{j6J99&3fU8z)!_ z_fEOIrd-Tn4eqbu550}4QLbSEXIQS$=q%_Ao@#+IZ+D`-rtT$=srx3u)XhV?tqetY z&Bt4w5`+00rY4kjca|2g0*LjgeyA015#>A$icu0UDJ`;$w)JTI~L&*n-G@E0G9qOU2WQCy0MLqC?BGgXP8hfF~4~ z3L>}Z-vth0_#P-`ew}VrR6z$91w7g-hv*|i7as>**z%B9drivYOj(Z<TC#csjuDQ)$N zRx^Sys|U_3V)~|#XsJBG>K!%kOnO9)IO>WwbRqJwjdTtu}U0uYa zd#g3GiX#f;4oJi(t1)gA zX@+jaP~ByRfJ(IFXo0R{CP;Ai-#It@WpaWHKTck=7u+N*2LMYt0ElA|@c*N)-y4YP zg>7S8{?D_7MZKX`uqTbtlYx*6MD}Vz95$8^P&XuETZ+qD60o&l5bnjsaX4-b1aBP} zj@!KTQ*2m5?c^YxyiEkQn9;i@m*JuPYblX8*C|ded-gpTV|Mzq=%~9twiIFdoA71R zPQhIg=n3X=?0UQEQ~EKes@9`z|pe|l5%tmIl?lD&N*UN8xy!G*_6P|;Cl zbZ)xBX8KiyJDhNrJ8T)nG>K_UJOhd3XG+iMC97$vu$5ubqy3S7q?0)vG{X6-D|>YV z{I10Z;*--hUiNzU($|&V0%rOCUZPyJof|8wQrfn|;z%C@)kvzTs14CnSdCgBg`CF0 z%K)m18d+tx;|l7Fxe8~U5(|;o3=k9Db=t8>J&LJUc~V&;dNZwoNF&4+qW*9JuXEX6HP9iD>yN9XWa4pYJltV6_-Kb~|C*O5iSNz$ zJjTtKVBe(Dnp4`Y!w`yX*{(3JPa)f~taxf={VS@K6|?@y3Y1;(a`A;T z`af}!jIAh}cOiVp=KdqPlFbxoJqAJfJv@W0En2FxktzC@dqm4P;W5#J89f=%$hNm+ zO9O|=SFkcdjg-2tJtf{^nSB^f_vNE3+#b`cHQ6?F13OfcntV0sub+#6JgZ3^5I}GuO<~i#8^_rLe$~!O4fmI9G)OIZ`J|Gih7kDkY^xtw~(F{VTkFc zlX?1`|Ka0{RDNIzM6{Z?~332VvJ#N`+;^cQ%AbA(i}v`Zc48 zVT%IuRdWi{CQm)JsrMAjJq7cXf_Z?Ac|Zc@OkbwYm$j3aGrBI3a^4@eAw5uE{&w-v zafF703<&M02qI-$lS0!s%_F#6z=$z}G&td+y**9HsInrD3x3e2tV zE}N`nb;CksZzJdOP}%yrQM69Yr5m?n=;%n8+!ZPzssrR2}?TG58O0@y~N^)RWN>JfafuODRI;?qnPP1QK)bH^Y$P3)cry zK=u5BfWUt>@YhgANUg>Qqb3P{oBskY|6>%t!8kDn|79^>=eyLZKy8+xRt0Lal2Eft zlk24xilNpm2LJbOI;ANE{z`+t6!T7Lpe#<#NolP~2 z`|w+y1JFzb+}8ycxfz4&i%(%(xZnUrg>w%8<^CFzsWF*|*;``{)0o4u>jUMy*hCA^ zhF?ra0R%62&0qm?O{CyKWF{kVs|cx)1gP~#%)SOJi%|f}0$8B@ZU_CH$W#pRt+B$5m8d%0 zr2qVxY^5X8Le_cduviuE)@N=PaPKhEdj!js-;S zzack**&56=xL_>)D`NzVqZQ)_7vq0ret@y_-y&*L>@WDGcJ05iG(h|)P$8J5!96bG z|H{MwEo^9^?!+-&M^fe4l`dvh0mfzHnauI`&H5|%( zPCD8G)g^w{>({mEULf5$ZA$1*!BQb|BRETgGYqbs)F#_lkMkO9c5T48^;JRq1A^bs znc3Y-LT3hye10>9k9{8T&ues-cGG|6r7cf^WyroaNWx8w5rNcE(28 zWlpA5Pb$VoU5qb?Fk_a|hxz>NmOH6Jg;32s9F49D2X6Aaiq=hX|5 zrx6&T`4oGai(O*Oqp@9MZ}tkU1s}kEF42Z7Tu}{o>2z=xC+1pAi7^+uCepEOcdNG) zQ7y$6%cT^bXs`}}DNL&pi6SudAu(Znhc}UkZO)s$L|T+cg<_xPVsBRLEiQJ6F%JbN zVz-Ns?!}&=*pDPyRkKhylokq)!K_3i*WiP>lwTnW2^JHi#bdXUP9v44Ve%m9j=3~n znWoH?e`D@p{A#GUjTKwEggLWu5W$@4xIF^~?CcUesU|6zMaP&Qff#Xh2R@V8-42ol*4roQ%MXGXCBrP(Ge;eF7CX;k)eu zd>AQ!3(VPIcrU~9I99`)TW99%1AeYiepDoxV8z#VkmenS5;}Pg!N!o}9j9fvjCqf9 z%MuDtfz%%%8belVO)hmMCS4}TO~(ysV(aUpBSLI`I=^iDI|fk>znr-pxeO6%wq$>^ zv7*UUD~U1J;3le-t+aJswQ5qe!cCwwBCl#tkH8R_r@Cuz#ex@!F<1O160vo++Dk-k zyZShKjbgvt#a^x0xhV|!v7{1Xwuuw5+eMh@#Xd!g(3@zzMr142_Dm_Nln%C-Z8{PY zp2?UUtN~Sd>C93(PXH}MHAjO7Tq31Pq|zlKG2xkvNkYUfOsSVhr4q?i?Ab2%iHd!S zi(O)HZ(4Hfc16tdVy{)~8y1VGjQ+t+U`H+-p^`SF6q{eptk4$eOfe!{6WUoL(zdBL zhF?`}zu(OvXE*)pwYi+I7n+Oj=9s2`QBfh9`-~IW{6`m!${8J)!K;GVV>zX{)Mm*KTMijbo(J>~Ge**D&v3&8t%V&CNb*KQ$!*;O>eID(cIL+56HBkajJk5Tm_UEyh)y10d4gj z=T*Q7s(^n4T429OgY#S>1xjR$OGIMOktHW$Yk7f}$QUKE70yZ^@&f`>gj~fQa7aoU; zNhWZZBux`Nez$)j6`MQuI105Ao=C%{ZP&4J4ZfQYNxPV50f{9@q%XHZwjB&v6Eh7^ zV{U)cmThP-NzH1z5Ut9jYL)#7cRmUA1zg)3fKMd>e9#8`ivm7Rz-bD| zn$=obzQ8GBvlg+%EuzF=@SePgwg5Z4MeNifUJbMm;CK!G;1a1*B26w4iNR1VIT2fq ztzIHgCE{1?+vYn(n5WolUF;HrF2BJLEfsGVl)*(I8CjEet{sXfOh{cQrZ9VM( zXSxR!Y!Jb^2^iA2CFpsw_92DoLzs_lU@7!PS)3E_dNkv31s#{+O@yVBI#w8F1PG8@ zJeoCOo+i}BB}Ddmg>bc8sm0m86ut<+-{_AK@zakn-p#v&mPd7a+zM8Mn`#iugaVnD zaOG9d`Jo6lfvk%DR4goNNH9~r;*KvJycR{`;SY#o4yi?k94YVT*VA-YQfE5VFO?={l!C>h5Ptbm>x3+ z#=8Q5XPu`oF|KYWd}P$>G3BzfC%DWfmt`eY_Ijrlr(Nq*96GDRw@rY_OLq_DUDK#9&619J^hFbT9S{#s1ZEA_LdPf5-?G z)kLK;#ib)Lm|G>MW0zv0m(CQWa|h5uVz+59#U(OMiA->bNDRi$$%)v78RsQ3L5cKJ z?EAUc3l#ep7rVqjcamebi{SHOPgCqGI6P9VlBIaH%;qG#Nx)uDI@QWpWcBdJO4DuV zL%FGlL!!Ox=f3@C(;4cDmM(V|<<-dedx_9^_>iQ$9a+VwnKY~y9e<2hBKC90Vu+dh zYUVndMU!?6(>7lP77s4!nR7(Zp>3}tQ)$V|zM@`@!NqC#X!`_z?B8pMGVYbc)r`aa z`KdtlPoT2NzpL@DGX8$Xiyx90SFm9}PYHt#*B-U1^N*LJGztB~fJdGZY*9SZiRUcE z(}dj-SlRY7ZJ4zRGnOz%*)X`gf1LZ!*EZzK= zMQ_H~2mWIGyv~E8SCo`RUy7?Oo_7w;#s)`lR{ZXUtv=ykjnjMI(PAuoo3cNrJJ`Qw zf{3aHPA%|A?b#4qmfF@Ii<)4|&6#KC{91Ef&YZ`aoYDtCGbU$)eL3D~piU*!ZUQA; zejRXD>$r2&GoS#Qw38by>|WU3Y-^2`Lt5+Zz=B}w-zE3~f#Pf!z6^Xq_#JuH&pYS= zWcS0yIaqN%r^spyGAQ_O*+letx#X4s*c(e!UTJQ7&(yv$6W7S#5QQ>?Ho^HfQi{YrO zP`ISJVV5BcLe?2+A?reb1@T+#MKz2>9j3LJjB_TtOb#KZr(K+gM}%a(YO~f+o)2}j z?-g-1xw{SZvqBv~sP8V1gNm*;xD1q!P_G*(ET#)(^;IjWBNrGs!UpH24}S@(feR;L;&X=$!36(Ej837#9aMg-}5Q1uMaObb!?==nq@rtAc0P zGPsMeA9OC}`{fMugVR^iR(ZNY*Op;Ndithj8X2o(7S5kksU^FMmaNii$#~VQZOIyg zGT_BG#!bk|L#H~GFg*}#*Hfu&OZU>TVi1RmeY#q8^*ctg=B((G+fbsL#zX$5=Q8ns zVf@962X6H&tV4mb>nADd@jz{7=?j@dgcmbQf0M-_xcO~E&_E3$R5yh})xlLz%%n-( zhp8Jc6S7DxU`5Y@MJBubI$oZ=XuU^?zd+0u$e5in9w9KlG5=DU>*<{L_ZLl zpKBkIid;vUWkuRsBHc%k%2gyAIyWX%6@@y{hR&cncaRh*AR2st6>T2@Kc1ouiv|Di zEu|b4DxE@oaj~WK43VDpYTn9&Q&Z+fEAGC+;lrO1_em93t>{H5X^s_PKZ)=(MW|L0 zY?HJ zK4}(PsM4RGL$Uq}M1RyJQOuc=a2rR4DO#q8HVLrM-$Zc^)J(F43H4DF6Q(5Gxshfv zNf#!sKg>*8;V2Wx5cEuq&XmA1qU=I{@rIvs2o^S|Dzf@k9GKua2;9L%%k}gdyP8l} zJ0g3!IIONgLa!^Mr$sRs{m;G7{HBYfZm^5%y)mKQqfn2kP^dU{aYaV*NvER)?pX?W zvjl7b9M6C$zdOlqCj7kNL3x8*i|6bSyD@zULIjcr&{?6N^HzLVJJXd-R5jWPO%sJu zS4U+RQxfh-ahTbaBH6VcU||!#6DLhGaR`$X&4eilH>UKMGv2*2f{=uAnt;UV5+)AIXCGh(JE zh?(B#U_&yVRDtAU#iiw1wBWj zGbQ1wb&aX!I#Kg*g0=Ou6KgC=gbZPlshKbZ%{Y1V-;>KWMaU5mng9!Pd{~@&HIp=9 zlCGIBCGq05$wV#Yo+Rjb8r>o2DH@$A373lFO;CT)Kzc$wh;FrPMx$9Mz8$@DEScl9 z`qrcza`YA;S}Z}`N@J%v*doHcl&g8Kh?_=WO7J=ALdA1ys+0~UJE5@)<- z(j`p7aI7YgC<#}fdrS-J6$^R|%XeV10S<|P%i9u6m|0UTjb{#rb$T;;83ZrVG~ge}mpiblc=pRk|^F z=@6}SYOOG(-$iSy=ig;&B`jK5aW`w_IdPtVqw+T-OxiUQru4gLZE35+WYQ^2iUqw; zqc;nBi$-Tkzl+wk2xeY{B`>~RhKZm+>VeP|>79GtRtMbZd{c?Ad(%bhRiFE8QFz_g zQ230qSmCPNa0X)5obNswzb}S*GHYE-j5N{!3+Ws|I-Zb*DI|RyP)B%conn2iL>NvH zJ~&fYt0|1|04l`>m;0?qB@(G~DSM1}uu*G;vPWOzMS6gJ!~%eis)l6KFP>vP;pM!ldmk)>8BY zf?#1^Qu(1p;l?JtZ)3yV^mMYp?!nkWdJ@*bF{Anf?*5^tPVDPvpPs}ssx)UxGpaME z%(Cta$L+V6MGa#Xwaecg|Mpv)(6P(K%r&aW&5_b`Zv^Gy^3%D0sAxEUa&#x-Z!)C$31ZV_y7oHhM@P_Qx_UmC zZzEc?wH)hzkOd8G4^NqWixwAi?zCPvt$C_HgtabfKtEqte;5YqC#P}h?E$^=3z1(C ze%^3e-e}k2v&X~^=s!RRdqDp>KCA(KvrLjbR&UwHZmj`X))ueNb{?QmD$f$bvRRT8m`psvWHYwJL7cg(o81l!lYWzuhZxb5n;Edrz{adrcT>M zr+X8uZF{MjNso*ddi8jLDg7Rrvg&MyDME^fumW2uVHVGc^8_5Fr!HX<)=Zev?`)JM zNf+HCZq1N~@d5N=K`+$k9fIDe(V5ckG?XPmv#F;R(bKm9(NnAAjD)uL;Am5)crnZp zHzWya*9l@uzcW{sxE-drog(hVfQ8iOi!)s_X%;3enh8_-oqDpQ4w+2ag~`uW>bU~5g}JZm?X|b z&7?+{)M+M6>353BlBC{b(jZLU@w1*@hokgVA?Vc_ohkj!Em5XCT<=Xz zXnW&Fa#$Kt+pB0xq)8w0DVpu~+}xzMV=#^(J8v=Ky*YPEm@m2z<^>9)7H}2)b)w&E z$1O&;3vp>ckJUuqJn7@B2CS{l)A=GPBQSJCZ+MmTy3~lfa(U;6~ zWDAoV&4elaPGVUi6q_QHhzK6Qg4Yyrj@3*ugh{4m!jyg|u`EdnOeP*-^4V?7!NHgPs}4~LK9F`F!Lq>*Noep#@R3TZ^K_a{kV6ubq7%fFekZ&v zakEWvb41+lao7gw`dXYX;V7wlWMtE;M>b69_iThEb(+Z}U6?Ep^g4~+CFo&|&Xj&< zrYsQ(OxyE_?Hxg|c4d2jX3`-{IyDofB%J7Rm?ETz2%BqJPaDOd7d4cgLc*k7Ghs@? ziJl(QI(o%AE*JDtjovKiEgGFE2`74LOg+_!o`w)C+urPiwzpyrQ>SlwZE+hVK}|YA zOi4Jm(P4_)DdJWG7PfbtI9F;W^}?hrk~EV0Si49igTi7QYuW!H4~;JoZDzL znKTKL?nTVxb2v(bVnHv_=uAmCw~=8MpG+w}L4w`QdM`KC)ai7cpaMydM<<9WXt2nm z?^=abY1EkF)`_@3*RZ%hi1TkaO6qK3lB1b01r1hwCRV*yVKS)}Cie(>y++Rv^h}M; z6tqix+OxXS)R+KJg=T_>gjp%%X#1u4G@e^c; z+hvLy7IBkB++S{Csego{q^=Mq)tU)Y&|t-9VoBX$GU*g14+(mMMlTiga*fUuv{doY zEfIQ5o%V`Orx2`N?;WX`6bq9Q&4ejvu;MeZL})feXb}-UyqOjBt~hVNQFR zO(O2@FY?x#(lv;5e*y}M?_J^q6ecEzGepQtEhJOW2<}?Q3X@5-FgZ%l57X#rf}XC? znS$0YKDw1brDhFOE;Z15)uvk7=}W3PhcHReOqhb!FFq41pGr*;%0-0h01G``DNdPY z(nFbwMi7qG2oeR2AUS;-K}(WilSzp%NfmUbM(+~zutsMJTD17+mI(Exo*G0?&)q0` zYIP(YTAV+?)M+KfgiaG5>anEDHl@oE=?(`9R5(GL424N+{UT(i7LqAwQFkq5hRGyT zm~6U%nXHGStRN)l?HZjaXi?*%TO!n$y_7oXrCdd@cCB`iX3{K7S~L@;phb<(#LA~M zQ-pL8VUUQhvx+6@g`*^C6edlY2~*Ib#%E$l;xL({2$P2e{a%e;FX#;#ohfKhD!ybk>5odXi?BOL=t`PuXn4*J`9J&&->#ZglpjfXB{Kqoe?~% z*dmLGINR~J+l;xz z9+*H*^gEVn`&7~mzoMJ(LAkb3@dIUi$0aMy;LR4 z7VC(ZP}fkXW3A*{8_jVPYOFO8P4{EMSf*0I5fTuGPi3RI^2;Q@9}c(Ir77ESPRY0d zeI-KBx-=fu8}$@^^Rl#SC5}qbNqaV6I{C%Bazaz+-pjUda~HKHbi9%t=p&nUL_PpA zUV}IT5IBxnLQdS{sFT|8%6om}5SofKl{D!=l>*vDm8P{#)$9 zv)U2ZCgI)SF)EBcy9~lqdVbppT9w>r6B=nHcL+6t!Te%M?!J^757Ev+mnd;q8KQS#g_hfm(8EJKC*q7|WDkWk1Jt13{w zZRERDe3e!|xK=cPUPJ|{>o;67ZncgXrkZJ89%}Xi7S23zsx*^QVNwnU*5>%14$AH) z=)cqG#e!a<(V2p=5qb3Am+YYeZslq(K9Kg%HIzJFCBtq(yUHBd0b*mT<5Y5t>#ngK zM>Yf6c{BW8l{aaUgM}NR{n4mPqRRGHe~J!u0EOzwl2G=M9jZxMy{cc?VF zKd@+P38jMf5LGqHsnTcsI91wYo+_os2hpBVy-c@rj**1dZ$OeRLXz^TT(yS}Lc?6; zT6{9OC%9^R5GHS~%Xc)sRa`k+I>{1jA3-^lP<|(ZNsG-V{*>>bF@03RTBe#tsm>hBL0OQsiD z^62th<>@BRJ>i)*zH*Pe@i$(Xw`b+ac^Q@CyG!F4_4ycUkWv2?(DD2?U@tHE|FIwc z@OR=rmy%`|h%d|WB?gc!6(%)gsyb#0W@6%3m{#5tnA-D*_Lcw^22dx?BF%&wh&CTJ z4T=dBib0~WkW#W_zi>7l^C;b5U9iNj=)B1{Gd`hPC7 zMd%XrFdUT&Oi8#`tI^a`lj!L&g0+UHZYmrpg2}(r=r`)%f%TE=`Z3H4aFqfX?wRn9 zuB{7IiW5(jSmO7X3G9^w4g?Bx{PS#fet<(6x%)GHT_$E&FrOE&CIv?GE~3&-tx~2W z+@Mx(qBjWonFMPW(UUZjkT7Z2Oqh~*)0xSnQ=3U66|87~0iC!n@Sp>Ul)2pWu5bk%2?j_xt%)KP)1{s4O zvzLUo3ro>M!rm%+B#B-@d%4Wg5E-_*W&_Om@KoP)D~qH{-(&%uk3}i03c|9HFNyj( zTk%P3pcadIn+3+cR&s|&f$qFS62IFeX4gC{oD-Qtntz5wN#kMDRu(JPjdIBG1u4+6s=RQZX{^q`}sy66ryTbd^Nv zq~569PN95j$ak9QOOO{3r1c!BhVB4#2SHC4=#waNnncD>f+w!*FlS4c{VB}WeOUn% zCMEJKYE+d8qO)i2P&zwTqHJwJlourmDM9H>`MyEE_nE!~`eZEVqd^6Ffq>pa(JnQk zv1XO;P2_vB=?l>AbEA^^w1s$)Ai62eo@N|IRK63)_xrI%#uK7brYXG}N@Lz=vtN1i zZmpoXo~jVNfm?@1OEGMd0{ov@&>J`5ZVc8EK4TcabSbRo3Cl))IO^+jnurg@W#Zphz4Qt{j^0>i)eI>J|yL4}}WvZHLOBG}K3KfKYgB7w^u}xX-(x zBE}}@-M$=p!gMoV<2NIO;cXt@LWr6@e8kQ`aVp-W_ZO$)2X2YVeb06KeUJ!WzAbnQ z_by@n;0iFm3e1^)lTE*k=+ik-*`C1B^kPE*KW<0~9PO`yEb{w?WPjC%o?umHAd-EIR5=R5R1_XO#Q`Byw;>pfX8=Jja9HrFMOpxZs&VVn_4 zw(e4V@s}Mmm6vlt-`9ScPzUjAKV8(Y`j>Hc#2=&%N}X>j{>BdWgEP`RLFvc%XEZ{^ z;O3*?f#PKAmm?Qv8siY>;pImm7bMfwAL_$_+1V^y&V))WlvL;sO?eUeg`9B^K%|69 zQ7yg@p?0g2_KL^*S;*smpaOBVwbO@*=O8?j@XZA=gpY~9m4h!tv46uu8defY%_Nk^ zCSiUo$E!8R-`eA{IA)t1b7DCT6OMgzaNd4a4&cH@J3k#+>-`G#oS3g`7=sKvw?7Yo6m;0WLgfkOwUPF;Q{IqvYQJBKV=@%zrEnkgt`KVO>1 zRlMJQf6Z#LQmUMul9D*`1(di?N7HLFWL|Ff-~w1u|5LN9pDx@l=tdcw#vOA8j+(HRP`4m z??fa|Tbk+!;ZkuhH5V@qGm<#YPNHq0(yrfpn`(krDEW!Or=x5Js4rC0t^$15i~ymS z0H{lbFjEoOfcW={}SWegTK&?>-f6kvKxfM{l$hJo3LILsP-O0pM) z*|CH*I0lQEsQ_arz?UPVMUQ57Au)T**;le7EoLtXv)7*o*1a)U%uEG%h63Ca6Cj$| zH$%bf7|je>ktY4Zb;6{aFeXP~q*48DWX02j@m(?!95rLX#>nj~&trJJt9d#Dy~Z|y z9!}67?8Um^c@6G3#s+Sez+KNF@Uu~Y%`{A)G|Zti#MoX*t;(U-1gC*;W($r=p|U*{ z;6@5CH6}o`z^jIU*?>6Aj`1pHZwj-Cgw?xebZ&DFsQ^PMz~5s6L^GR4%$5zbCDS?d zwlHaZ78rkw!k`>d-Fp@2VG49@oIugcx-jJt$&6u!Z9i1Kcvq7{z5e%w@ifBRTQE6< zy)>f&97+MUk6`ib0Cf2sPn!XdXU_om4*~r78HC>?;dvS@PytCeuzjU3S6KErYyVs5 zRD?fxA1wUVdw2GHmQL_L1^<4+zalC(^$Y4h~=N zBkRTz<#S~0w$I}Sd zqyw@o^g)eC;O8jtEjqB(xmDgv$ooQg=k4S2@s*g~)!8b8y9MED3X&@byWDuK5y#K;=|F0sA z^$3SW%Qkl{S_;at8tA`)FakdE!)7f0k_-GLM|s1;d*M9PhutHrTs{HO!&ToShRXIV zB3ueWcM{goKaZ*hxE)QR0u;?w$}V-LIZQwF5Fd|N0vQIq>r55ZH~uO}9&I%JTb zeDx$ymTMH`xvH>KfL03d$Cv=vW&v?M$TV0HIaF>33*s`0aEU}9FG|;Fmv0{uLmeI_;_PYdFw~8Vy%e1eTd0{eLIF7uUpQg`s^~gg!zvJnV63 zxN|51+gXt}6MMxl=U4~r;O)0Td1q8QyBE}V&-19-htwAS@*9>Qs^VC6v&z0>1pK)_ z1N;^Zj(k!ThYAp+0O!X9h_X81OAO+`=SjrM30?+w%#Geuz#D! zj~W>_-uh>BPV>K0WIaWU>S9J`;4BQZJ9F3PuAeu?Q}(T=tlg7^n}@b}yz4O1ZWn9G z#RI`%{|xmC@xn=%J)l>J=}qAopL@!-c(OiltxQgHtqD!@{}Use)_>FZ&UjDwoqEhJ z=+}g4MgrW%`c6+x`A+_Q(=U>PV z%P5ff1QKwouQ^d)7b^xRa-~#m{)@}wdDFZ#+s9kyZC(STc>Qpy2m@Zl!i&l;Wf2M! zQ~KQ@qh>^deNNSCx$Y74{XO2UUaU2=y6+iSGynD?6x~y$bQM2>9Nc#>O)%bmimTSY zCp~O|UyJFI5BxgORXfq8ek~p(v`Mbo_tC+I?E(x!CjTI+PB?$i+o;_FgTuR%zLb3=3b&y= z1UX$IEv86kt4QQatrl%kQT~^c|55PA4p!gBy;;3fDar=6IfWp|bW?%k0(Ukf7nsuT zoh?=_@CgDd$LSma);QAv3ulBlLp76ZVUnY>nkfm__W2-z#e|L%0R68XX7UUiB|?Uv zXKHk&^t-5Ui4fx17InU~9UnlSL9hXCKSWhiHJ>!$ldkzNCE@aZ4{f@(r0B&5_ldv$U6ZAzIy@wja|6*Og*;G}FsOk`cg~{r+K1deU znlu>03i@T;rh{VWh@8*HZz#6=WLswLrnK$;O~Te1Lx(AIr^vh%u+VQ%oZB=L?o_mK zZ$ec!Oi8#x&L<44+@o^_K>xjA{3kGC4&Cy38Wdh zv-f&Y?Va~PwKIRFd@)+mhdE$~W-56D8rpYkP;%fOf-xoWB{C-ebm4z0V4=d3#L3f4 zct;wVYt4iy*uDo!9HX^_beK$1gvl4jGn0?uC@bh75VX@8ohfLiN1z z4UokZtEwBdvY#J%S@|;6^*jb^9dNMvB{%a+NeR%LDZPP#&dUOf3Cv=0vt-AByOAAl z?$E^~+vS_3g0kWJ-Hj+R4V)F;`HeK2w@9M4Eko3$615Rw)j=uc`y~0^VEWShJKa=j zWcyS3w$4JLGurj0vYjdDeZ<$9l_T*^drt=}vb{(gw`S5TOjcOEnO3XaP5MnP}V=uAPMBR;w%0v`jm`W$o^7-_F3SW{ICHJ^In)1dh<1-*~> zd@LzKrWEZWMW#qGPMp0plNw=CrnR9MlVm55JK1&XF>t-%$>@-+!c3F{Q~GcJ)6;^V$&LX?)wmAoKrIW^;-2?Z z=42TEvzSm*>L?X;lxuY`CE=w!^`^2LMA_#676x>NI3CTUSeTS(CQLzJgFO0gsB26n zb;4v@E;IQT93?`5pnEhrQxaaZ6YmC$KN2i*6cYl{)h)S9b#?EO>?yhv*)!%x$sSZa zYI;%m9!|dh`5~r>IbNqVThf}N)5;WdS;(V*O;nhgs1{9pmBX6o66bw5N+B7-BvUhC z3c3REnOLft5GjBCHwCFwGkPrbmQ^LNXo zDu3^jNLN#&TtsrMq#1u2u+WR?792q9S0o5gS&VJ(T3nT*~yi85f5RS7Mf;B z@U);lbq7#yRH$sBxLz_+2dt=Q3(J(?&q}np6s^FF#xrKhcLw>6H+{+U0o*+)3e{<$ zJ};>IQoMhCYZn*l%7!p6J)e(6{#63?1`%kZ1PWPGamw#Q@_Q0~cuRK-R?HTkHWnPP9jxt51nfm#3$J-6BCA#}C)(kxXwye<|$;}5X-@!#0xST%df_Zad`Hhir@to(mR{-6IFg?L=$ zOj`E#6RKH>oo4at5Po0y5#t5K;KbX_tnzIm-=(H6@43S6ErPm);$3LQ z!;WRDjra+@_axAj6zCWPQk6$b!pdWGV{G9LScUsmsys}Kopb~UVzG^q-Ay{XnSxGx z{Oq=j$zd8(iWt*Bve{za5$AO{ib=gNY0ylVf*yN(CYDY0m^RfbHg$ub&(Y{Lf?lW5 znUe6XuL2X@Bk1V_YpQCn=2Ibjsx=>`z`n_&ZzW<$(Pi=p3!moSv#OpH=MgwcRi(nD zTr*)x!dt&OOeUSeQ&zbL^~_{lQ*L*G%=Vuk)o6wWH35jZl4dtOt1a2`UOK((X(0piu1Q z38&~qS_9|Z!&n=X7y;f8OZY1hr1*6qQE2wUa8$F$lzz`qS~k^8`DKlnTj;|S<*Zna zQ#8j8;n=A;G9}?6N}nm$D2`o+qNC#53o;L^Sxp+JW6Ir9mpxdEy6mdRFW7ZWKCa3= z<;VW6+GY$2Bh7qiv!y}qJbx`QFQu4AAg1P(hyL^6k*0=ah_vK9Rm*-OQL`xOk6}Bi z9wn&&DHPz{=m6AWpka7yFW~#TUE;@1F&OwjNPj@(F;mNfkFfFriSzeK-R5!Lh4cb=<4 zX`ZYNP!-q=_N)sJ^sMU|2zEJ?jDR?PUkJ!;yfc8}34ZLh{dP)3fP6lxgrR1-E+38(PYIIbN2B1<`E^F3x+a1ypQr#eP*O`Zq zXxz;WNx^8>1%oO5uK!s@v4)av6~#JypwsqN7FX}Dit`E_#iUu7v}h(wNx1k|VKS)} zCRYpkY>nP1=uH}(DgCbcSt7(674Jc?0iRaYFPXL!^}?q?^I=ND^*?UPELD|@6psTI z8hc2b2F;{KnAB+|Oi8$m#x0t~gxWQrPZ9KEHF|}hS8H^ppfM+p{#(M3+3#tWe$QtU zY*k51NJ=wWLiI^SM^%!}`Xc}5k&|7dQM;N*!j?{~+{{@BJI?!@Yc8oKDlqovIz?~B zc5n%!DRG((=grvDo*8%z4-1SDmb1(H^LmL8i!V9<_LPGQR%nKA92c5ah zpb%123|BcAw$`z~X%Al?Y}-SrD6?EElPL+83k!&al~o>mAgk^MESx3c)M+Ng!lXnq zVM@Za!fcaCjxaew&=1k*1%mF;=uAntNSJ1>S*T@~w-4fcwd$wAlCSs7N4{SAPtI5J zLe{BG@G8I!6yPKT2yktM3OAQ4_qik1qzJ^>6k?n}kWon;b}6&tIxJhH$k9?TCE;RZ zhN+`W(a~_gA|nQgv-3bZw=;xEre?yFgo}I*lSzs&X#y;mJgm{v1U+4&GbQ2bUc9BL zQwdf&M)ERg3C-Jy@{2QUHTy%Jz)|b*{_6qAc+Expd0U z;tUlC9SP|$RGg{0B}0;tlwkf{w;%^+b+Hj}9G<~y3qSG~F1!U~7v9z6P{(1YM7oF~ z9U_s)i@GVaQA~LsPu|1eowxUmL-NMV-7Auc7LiUDB*U$bZ+$iW38Xbaj7h0n; zCE;3Tk6DxVN=-h7U@cXtEPVD1m4zcDH{QGn>c0PDI}7c+uC)U0DFGj+fOE}&G_kgF zoUNA*NqMJEIa3m@lZH&aw2NM50T$>b;+(0OgoH`EX2KM7YsjN-LuU=}n@uJy!emDV zGx-jV)t?dc7LDFal>cf)@qU7}N~>zx0z8iM1g@j$Zz(W^C}gYYXY1fh^~>Ww1+Cz@ zRJf%e8fDUx(S5up=)7qj9hvcUQ5_U?2IMPDU)TZ8j?4wd^g0(*{kl`eH8?-;dE^f? z%`0b3*HD{E4d*^*5v04`CJbf5vSO~|d06wJgl%2x#E;1&^cLne;AjFoFCJic5Nnh4Ai4XfXh2|yX^A5{!|rkk_22y0lgAXtG#t!TO$>c z=4zd0rX*bTtufPFCuyDoSd{m2aW2+ON`*e`YLODCx8)P?}N{D5nBUpHu>#<9$t4O@UwvQ3A`@BXAZf0#*8t zQ@@J*m!}l@=O8kBpfaHTvZ@GBS@2K42bF~)(u2+X)6UAbJt`}Yl&tKYi>z$vwCi|l z_P{QW0kch24aFT6$T6z^Cy==s#O5~J3Ya4S=TpE_;{?o$33xUI92Y0xUNHeDQo!E7 z|FUzc%q&=<2dXW7pg&}30b6Tqh2a(p$eQN%uPdY}H9m0Dcs1)^6nbKM#5aKRO`G^< zd)p>nAok(W_Q8~d%izVP^_7VA-40loiBFsbnn|`W$h+|R^bXA*EXqR65u8fZ)WTkibCCIVIAx$Cfi zq_F;m^CkN0$*8aU=nKZN+xPwiE4Z>$=O1LVv&HE2DChzkI??Sv)|^nO30=-rum$}c zdL6hw76)^labp;As?=hCyB2j$?c12NdDm6)~JYlM;q0bU-g~V+%xKSvso{>=(K88^g?-)>_ z4xmsyTWA?ghD?e+jOd-9=j~H3!hJ~LCEJ2Qt?qkcIEpD`PLi00SC4g zMCb;p`Uqc_B^eJ9aaTBGI|V*{WIN5`IZJq6L#W4URP1qR3)ehq$)-Y`LZL)kki|Uj@!H z_E!qz3lwRgibM&+X0fvnG;i|U$(UNNeF6Pd+CXtU(QrztgTB*Uiw~#o!LHi7=zD~# z_789)V?f02vb-$U;yv&aLKt_nbX4d&S~lRfbrYNxcl;D3E?-X(%pXl@`>ewjS1lha z-`=a>yV3B)^o-xVAyR{sDE+7lI;us~Hj1!RM@Yj^9ud0FiqiR+Rw8beSa(vanL3tA zgz}wBz6FLa+G1eh1n7o!;S`t_*^W9Fip#kC>pd+<9`%fNdd78nhG4S%74&4+lB(8q zWq4MR4|kl#eIULbe`jnhx$>`k1yuE}YRPi0C7bKqFZZn_n~~2j(2uCY#!VXvq~2YQ z!a1WU&X_{gGt)2?sjfF{fZ`O^o!t4ezIfld?N_Dv>~<{~QxPi`hexrtG=Pzu1 z<^5S74h$F5fnlVAu8D)8Rbp(c3@rphYo`(b-w+I~eHVt-Y#UmO#>ylt8+q@juT;e+ zv7xCsld49WMUw{INVEJli@))l|8B1`d;Bp4MCqVHgL3uzXUVf8FGsF8Hgld)$h^T- zgImz#IP|@T#W8o>8TTH>=s8s-m3GVhuX+ycVJhK;sISN9Yqz>RyVUbVQJ`nopu1V1 zp}ShbvJL2lsIPLxC$WuM^=(`m9Tx? zH}j5fF12i<%f&{QTnZb#aFe#tGqB<=MVKN=rFU7bs*F}jr1L4#1Qp2|jgMHPeDlb6 zh~bOMXn?oNh3g|vj_x&_i0aRJ^W|v#=3WDBSa)=1NGG{b{sMPzpAU|5teNS*G7GnDn5zrz{;|?b}%LibeIjA$PO&yifacO zhT7?7J9tg9bnzv~w6oi6JMf0H^738xtgqc7x1=EBj6((32e8V43c0|MfpZ1xJ-#ij zWe8eziiZOslQN9s29>2sQDRNkAET`44Pl*1tlw`H)?`iHlcU0ik5=KgNcaygM))Vq z@b)#bMwPH@OIS1H|IQMwCoJ0%E{*y+NAXE)309`XwFFlbXp#+@$Pz5`ifak0QtWiI zC43<2onH#|p1RStggkZHgt|jCfY?MXPMfTQyPKy??ncn4EFCpjWoei296~(b+hCi3 z>f3)JzTLCn+oF9{FI~lboqX>#efhpLzJE5&UZbbas0I3GZvS;>+$tn$PNHvrr1rTd7OPcdyee&gdCRzcFvOlfu`d7WzMy?ws7hqCkE^d!QjBQD6U{FUhFgx!~Qp zgq0VHthwO_(`@|T{QQljxiphkdK53-MaYt+sqD&GUpbV!36T`^CzRA&&G8kT!Th@~K($d8 z;wpzyjP<<}@`ku>y$_OOTjSzCl6$=C)&N{@`1t7d4}Fv*2Ti@0tsKVUtZ8ZGZbwGo z%A*l$wv*(+{DX<#cWap+S+9h;EGCqLLcK1b+GQz#hEh0Kle-?HIbJ+H5S1ksPfJMy zLFeJ}C3Ax6cHU+D6|;Ccr38c0MH{Fz?qYOTYLrx!k$iFX?iVDh=7_G26xPWY{%8}- ziTXN(zPNJQ%@^BZ1__GAXF9)Oo02vOQ)Eq>G zHJCr;JY?SJ>IZvIa-^MAryWe-Bb_=Q)@mddZWu3E4BI<9g)d}dZw z;O`lgSK{yF%1iNgY~@V+y{z&~{GDBS8vYKcbmMPUCYtdt3{;R5V&)XD@M5TQZ2~l#RQ%1>WBo?gA{_#G|nzF<_C6jQQsH98y!jv}4liZzQ#8jGt| zhSKo?^yg9C!D&*p9u&r1gQzP}nS$k7ir%-$x6mCXdWxXa>r2oK-`wUwOQ6nuaVFz( zMqEVL8lL+ACi_r7m@g0>W?pEApN|QLsFzd(f2CtW?_T--aL*YP=Z=^3eAA z3KCa8W*G;pg22`g*i`>DOPe)=hDLp}dpsXBJO9V`op>IXR0ow)GTz8pr^(#SHf@hl)bHP2*wi_xCoe&kh{KW9G*&Qf!b?| z{Ky|%WY`wo*sxNYmRm1|a4lKvkRMmC{CSB^> z-kSMdN97RYwl}hrYA!2`y8-tzGlqA518p_MSy`%kubhLrckK#p3LEIjXm_p4dk)8> zyGyJ~PH;GwLg!KFJ5^}%4a}%j??5+t-L*+*XZgmIV5`PoDJd`imDSc9D9%K3LVniR zENq4(8$_LYoO`LOb`m0c!KV9OaA~xYsV+u%lPdi8ZZ^!8)@X1aNbQce>3R#BNl4ot zif??pYRpk6vv&DjppfQDBi~Fl4Y^3quBnTJEu}T_hNiagQ49N|sA>r1JCase)>mC! zU;>Wa9^5893oFRDP5K&g;&yA!I1S+a>EdO~KLDv7WpY5JGjc2BrGkm&*iKY~W33l? zCfto`aM1>;Ew~|6chF*9D8r~d=y{(RVY9aAMQssury+xSkc2LX6!rwC^%PRe%jQgb zMd8y6W+N?TtCsE9x#<;dWx1B}ZY?pHpkT~slB;?fOkPqmamx_ic72pWn~(szB;GAS>lEXSVj9%3DP~s;hts|ahOQbv5m8|r z#pJ{_j!A`h{~b9_b{_~fO$1X`l9JG#%aXlqQnK{v3?pcb}eY9NE0KuPknvaM5d zKg0j&D(ANg%QnP$Gzq?L-{*LK3TOQ(Q$C}W;CG(K9zp-?%@ieAoJ!pz4EuvCP~%TM zZuaJdLj&&3-q5hzSMXqfs`Q_xc=sb7RMZS_PeV~w81=Dw3Sja*w5)muFxgGxZCr;b!-JZH&ymv>^!c@gSHMe8_h&77E4q6oMe)bnj zs#GOa>VmOKs#JcPDW;ceg+h92$H%1l34&|@B%4QS?kfw%@~`1jayKj(u_lM~63kyj zp{_SV*`X)EN+kj~XIbxip{HFcJ#CszBKfQcR$3OQw1<&m=%0f?-|JIz-(HaJDSItI zAH(@xa-4~K)nj%|J1{e*5*EG;348w;t&upmY~4`pI>2oz%8Gb`M-BA^MlBkLtl5(? zBrxh4{9enAY!({3?Vcn$hevl?OkG059OpFnOJ?VaLMn*w#o!Ci90I~yXMs_N(=Wn4 zh<==voZCJRSr0X;SjSSVi5zRx01Bem|2qTlP+xm%@&Jd~w>DdkDKn?cQY{GGy^bd& zoP&Vp3645}oR}HA#p6&#Vb&wXnlJFr|Jm#QIVs@&x$paM)zo)@*fbi$9K*CmkN+an z{Dg7Q0!{PN(JH>h&&yg}Dzc*B$O z2HZG?9%6NVxPJ<6H>%vfdn5}SxexsTHiO8W_|@us3I2}mtfHW^956+};oHdZuPgGu zR^$&A!6c~lkYUNMcsCREb^!-Gtb+r7TIBC8@^=;a|78Sxn4Xwa0$d^jJWeal_dKNq zIO2xnyrVGfr2KCq{~O_-7vpgadCZLCaW;9l$zu{8A2_lyyL%3%CjJU4rY4T##NqwF z{}lOqiu^l_#3dtfks3~wvmT+T5TW0SeBJ|*@I|LG(eq`ZI*}>4t~C+DE1BIVAj$;- zP$2;C%2%}jFj1Y4jRjZ;0Gv&6epkjUYb1V^#IKY1OjM_LW8=>y4F{c{N%VS&e!4_& zkmyWQCyZmGJHVbS2^JT^@UszRx5-dZEfQ;RuTwRjZWf91VY+E1nytaE~xYcRQ`%ZO_D?fAht)n zJsotuBVU~oy+fk&S8Vh<_}NMf1+Yo7Eu4q+NOEMLutBo(3oM%hyo+#x&dUWnEZ|HG zEaR_O@Yy7B(78jR_aH)%|Jh!NM_-VMzhdKUAA@)_$dKh&ta%h9xmNaqBo-m(qz{@y z%0qwOJHMSqa;hKt4lM|8e4GuSowo5V56oCj8WxeZiN5xfH1Ygb(79B;XaWo+jEUG; zPhYV~yNmP{bRH=YcybQ&l=4NhbBNAF?Ea4zeSeZn)j(3#^Jz^cJWA4QSE0%;h5WYv z8Fk4~uG&SYrr|E0fI&6tmf?9vx@u`QH8L6m@BHPCs&kRDCuC74gSev{%U7nPjEOk^ z5HDrEbiAeEJZ+(fxTb8as-x%Q4_PeMmn5fd&V&A zT!#G&ACb9$wbT3^*5N&B5;N#LLBKr%UcipbW5#C2Tfd_;KP2Fz8T>8+A1!HqL|}_0 z&0+bXULi7xi8$>UE52lIEG-?LfM?=v!RgF1g=!T%jBzEz?q0DIv)7G z4E{|zE94Re|0h1WX9IJ9pi~Iv{_<5Vm`qgjM6n6pgr_E<2f^HfG4CSG5o_*6lF@++ zT?9M&%cCe0LFZ#LN!gfh4LUc<&pMQj8dSA)eKb)4U~ZMs6QN#$F`*l^*MZjR=?NQi zXvq(!IQK+4c&^|iH0z##bAWuKxIQOIJBX4tnvxc9e(^g54o<#|1#b%eXS)*#g7 zn*j!B<};CK49~VBUi{(NH%C+L;cOrT+aOpQ;?#$RQpCR!|aO%AdJ^xSq}ma{7Z>((0P%3m2)z7*?~Ed zxCEUSON0uEez1I1OLQjo(|!4#p4kjKvn4_eBEVAKWTBp|ljuZ*F8C|994*45m4Q(w zje?S$Q}8#5TV`oDUPMuVqCPmEG_<0gp_RT?HwZKl0nJ~r(C16a9zj~5mqw0$(7s4# zk^j$45}k;M&R?<7zrrJtfl*J6M3&nv*#t_Fe?>E4`B%3H2z>#BzhXh&gLu%+BuPVv zLI#}=%U8QZXJS7+jWSL{R#X}uMSdX-9f*xIbP5Q4Ar1T$n})ME4g0Qz8u9R97sq=x zEb-_I@%Sq?-j{e&GBB!nFUp$d@Yl?m{Ui}R3?@fMaoM_n^^y|{_Btf-1ou5z65&7v zi(E*NdX~PB2>yzVcQGeoBG~I(co-QA4Wuy$KhT<>3s5Y~Kf}2;g3f(7DZWfe z0~7mcr$0&~MLfNynTCBO4cU^0908#(2*O{nf^4Q2I)lzDISuM@W9LIyVfWbg&J;*= z4>_^X&*L;qL>dClEc`Vy<4$BS^ihm>u!s^y*=1{6AZdvR_fm=wbnYc7E0^d@?591Y z7cT>&9vWflW4NTDLefwzAoK-&@K>xrFGC=3t>!dv)A$E$B|zKLf73XF`A&@Dy9`-d z6r4N-Fh%|DnA*yLK6t- zm_RV+G11V6sNweWtzBk&PgBW3wk2LZrQzA8G|EhOjZJ7FLL1-7LJc1Lu!h-+hUc%O zZn@C~%Z)`e8PU3yX63@aUxYTzcN8+uyPEzcyL`u@BY@FC{uQ%l&&IT*w8GeBB42Wn@E)9q!O>+o6d_)L z{g}~{U9~F^J`UD0!jg^`ObJnEzJYxaSyhjTxEs(UZ8(P%PfM%+Eb>Q4R&24yok2C0 ztmH{iFMkUPlY7`i{Gl%2c}Oxrt-{J6P$pkaU<22p ziHH3$=FC$?pTGhAf&jHDIx&>eUqWM3lL7BksqD1j+_D-6+A4{9GPsbxOh+= z(Jdy;kj_;3!qnCY!)a=(YL8auQTUYYCjLEi~PY1 zgbh?>2Bu-5ItQA{Kp9B^&EHe!OD4Cyjz{r+To?K?)NksR$0hD`-cpi26W zGevR}V+E0Nh=o;lkOkN-K|3bKPs0LB*?$VNdW&e)p)CnX=6*|?efKAV<-U>o#=J2_ z7)z9G*Fg*YzfPesqyyfGGn5V5&eH|MVoKLFM35S@6?vj=!)hy_O4InHT_yBM8k2DJ z%Q%j&KCN)1MF~g0jBx5x!bM$P1LK=~K|W^CxthImFDl2lK?&uU{yJQ~POh0@MMgBc z++TH7pYTs}c(j`^wDFrzcTTRtSzIv?RhLoqVcFut|6p5u04s9!h><)I0!M9M$|Omm zwl6KOM%iUbTR7Ct942{!{iJZym3>l}iWGLQu@Dvz0uDcIXH|1o5Opq^8|GoBbNdIJ z&K#A_3lE7+Cuc=eI#ZEOEcdyVJP2s~SU3x%F%6YMI`OuV9}?sf74m>S$eejm$S>Ro z4TxEgnqO+8v{fD3uM##~5u=2v5fLg`QCI(>Bu4d34k9LtHc)wwojIU2jC53lVb{vZ zd#0o~3Tl6pL*)<+2z7|wHvLgVCD(y%7!?kkw0fW&#Y;x89 zhh=kC2$Mx|nEXi|6>SOB+>Wrm(1P*Mu=>ic0SS{0_c2QTy{AJ%Z{A57vO@Sm`ZpIh zEaH0B(+Z*6UZT|@Bs2=n5cJ5@PBZOmZ#ZjmTbZLEZSc{k)3#zlQq zm{vBK7T>4Yu+W$6{={wihr31uYtGAFNF9k4b7dJo?QNl+R) zpr}qYrT;}TE*f+Hl+-;DM0m{ToFX%5JZX)pGMj|LyKZp8|N04~%KZ~@BbuUf|Uyrm!u%O80=NK^i`zxrXXvg`>VltN( z!DQ}Vg2`pv-#`;k^vdn)s_wNL9;Z`Pp25jC0EH@xd2fRx8_;aaJSd7_8Rsj)>6g>M zX%aX^1tN>%Ek*(oZKAOY$WcM~|>x7Dqmy zgqo>AVT&(PyNGlMi8Sku-y{-sm!r1;Q#M*ERP05h4Y=zSIT4*EYzw2r7y36wmn(W? z`b7@yN8!nTb22jf_IFsY?qt}4gH~MKi({{gSbQDV&SX(W0g9=`tTR}uU&f8Ff7ziV ziFCtatk5HEylpm+DcckNci92HGDf37?66O`Q)Hsi6Nre;t){>)+f{ zslJw8V9@!1d~pjKZ@tB?mg)||x??)D=jGo?{kDMX zFNsYUHVaMuS0Pn)`FkNn(ROAvCQc;5yPDXhqPG4{tY@nS)-&#Qx*?W)JY}dTxQiB; zTK}^-VsR`OB10F7{Jlheo+5u9pvW|+L4_`zBG<~=0l!0er)@g>!b2iPA^ac+*AT)Z z8iCp85SDj64$w~RNby|J5vI+e+-KmmQ`ctdA-HN^L8FgKekjX**a76kt>lLvh@}HD z;pi9d)8??*Q8_Zq&YWYQ)r1C0>29BCD0PsPVKfzUrUA!79N~etQc;jI4P;97Q+F^^pQ~3 z+4A>084^zS_mOartCo5v-rhm3B~-}0y#p&R!yng@r}-a@<@NDdI%L=xUuAj4pyAjlD2-!mdT|*aqQ-tGHxR(c4AI40D!ndyt^+ ze-#4+vM0Ole~}4lHh2Y9II8YnzxG6+hR<7IRk6CF)`wbJeJ3JDlGQAbR_3``D<6>) zS1XJ6rzD_akY>s5it$|o)aKx76x{82pT*Y#r+VTDpd!nAP~qWgk$mxbLcG-lTeBmX zC5>KN*J3D&lJOTbKkNO=xTaqh&Zzl3P6PMJRAz>HjF^oTW;|?*w;#b~_Jmbr!7QJc zec4QH9k&bpvGNP6G-36ke5KRZF5A*PgB3dLj_|`PNUpkNlq;^48~QfdM+xD|^VLml) z)oRDRS(q@Ch95VD<613D7y7pdSuvbahrU%8?;4A4wazc+vO&hbi?We|N*k?~hfIe0 z`}W|&m(3)8OFFjHV6F+Cv>Gix)M_*~07tJz7y3g}xV&HyI_RrM9J^qZZYMMMb(pjw zp;0#5;c|pVZGcTX5HyS(g1v*e=P(eJ5h{?UkXsnUeOEvwD_#Zi3qLBljOCJqs{zpG z?BOFF1Zt!)tU6?E23gFxz1&8ydIAkp?S&s-1QH$4ZwueF{g<8HH?;XKMND`(ysPO~ z>cTRKyf-2T*pqua1fqL9l@pa0cV(CXcV#Z@NC}^$S&SwYL)V@_iFp<2^a``?rQxYS zZ}nY3tRsujZIIv8=7}Knl%U?Esu7CPR`VF85&x_pbt6IWZCWs9(e@gU(O;ttJLmxH zJRZ&CQMdlG)3=F7g1$|z!gVwZ_jO@%83Tea976JKvalE&Ly+`*1$C=HEhnf5FgQs{3lMCl4HBTGf=Zdl5d4*H)b z7$ieHbLR@;y>kVnuh7386FAeTF2J^i*6_Lr;(9k^D}yI9Nkg@Rjwo+O_kGBnR5d%? z7XE&@;TIym==>W{H5N$3m5^G^(DLT$GAcrfnQEKVW#6lBq&DJ0c-O3^zcMbl{RFPm z(m0m$UHM9c*gkdEi)73tQ%+eq2@xY@8ON4i9C7)!|Wt>p$ET&xqg7VuWs(zP#1paqL=Cz;?9JoEBfqsQt9uH1XmWyG_(+gi|ID`3_2V$D#&)@#K)9w2jqrG<|EB4GqR-7`A4&z*d z^K~IioK8LNn$W^QIE53!QI1Xt)}4c385Asz=NsfX5T2MlY@}_lIGEGpTA4f`@As}{ zIFB7eJRA>mk84N8k>PJHsBLyFR>`M&y?KP={)rJ$cwPZF^f{O^k(eSqg6ZX(|gZTwod0gS~~8m zw(*_4Ab-hAUgp9E5zspN2}(IM#i9rxLqXp=qO0ACnSIu`QqvV9Lw*}$MVs?b5Jtdc$J%S z1;NA!+l#Wcd6KZcF$2ejJ8%S0WeT10#voL0J+f0W=SnnUv}Xps(c3_(F5p2<7x2{} zN9}5`?iQt)IVv?~MM~@GF+-2Hwvu+Z`L>4{tA$n!F>KnCOf$L=4HBpWh1L%A;Ud+pVP$}m zY%i;NR`Uq-Rz$v0B6BA<=yZ!6abGu1&zF|06jTaSNs0VLx>L@`B*?GQ$rr4Q2QbE2 z|A8&WA7@P*M&eujQYxEvzm(V8$D!91B|c9V4Pw~*>^{eP0 zhrR+blYkk2`Z6pxN(XnWiqgThA@Ou@d29+EJQpdzyPKr<(UO7!Nx|)s0`BHvlAIGF zqs#tMkQ$wWIdM~PP;3guQVM2E3Z8`RA{UA!1*@|8tAts{+tA)87yj^Kln%Z|QS566 zpY0Q42lt+X6nyqG>tM2^pj1*&E*dG96fhC36@B%mgA`5yu9X6uvc}8frs9FvRE(rl zT*Rr!FTg3B@IH@|FjX`y*&=ySA*uR6(pW92Vq(wmV<9uc$} zL0c+lb%MsksIBrg+A={qkkA%tG-W6Cf_A!~H3%9LqjtyJXr~C;XhJ(equn5BYZSB; zL2D8;CPr-&D&^C6I#r^mT70pWFT5X zV=^#if;020aHazFn!8l-oTYLiUXK>{Z zUF+ex<64Bn9U0(BU70PP4#r?r9dIdu7bL#>P;?_+n}d!J>P_*d^OKk!3M8YR!`ThB zmDo3Z2Rd-eahA#Hx?=!ER@XF~FF?}>=vD%XrcWmNl|%=Stjz=`*Bn75vn||IZ0w?Z zmOD1i<3AtlXmupDxgUdcbarZ}>yCes019`e@cU>Y^?wY0ioTKPJFXRefr3H#l{Z!7 zSET}O7(gP822!aY{gGm{Qw+sH(QhGovw?KY71!oh?FB{M_ZcM-nq^Gv5mFJ$1n@+P zc%uQR=!Xz}mI-)qbv|YXgU(YKaDW1|;K~K=yF(By6VU?h$8anW$A(7vLb#GadA~#6 zL*U)tR+Kl?2Ez3*xc5=Sj%y%yS-U5A9Wn@aHzjQ=^l#SZf%@zlfKBk-F6(<}ywr4@ zySXye3k!?fj~ZBQ6OP(%GviFyec$~y)(h_5t);s&aBS=0l&>tw%(J~ zN|WWmYFt3I7P$(8!cAVSxo;r|?oBX)@I78=!qs(3xo`RBzs$3$-uW-nEnP43{-#h2 zDfR*`6|}4;CY+>bQ~Y}e*5lrRIg*WsWR^P;c*U*3k)bV-oA z5xsT{BC&pei?^VdH^a#&Ti`Oxbw?AFgI_~oGuKI48Z(iWcdz0?(!Poc1_qhi4wFK; z*EG9OR#vn+pXeIwNFtyX3de}kSY=+^wLxbB)pZo)gM{E{ZD<(QOmJ%@ZMF=!U-r9s zc+syZ?KPA3AJELcqx(#tI2W8uf05d1N$s~2klG1WYI%7#I5}UXc6O0YEw)eZ_ynmH zEV&}0$p3xpl`HE{=b50cnDL6b1BY%&=tZT4Lk>w$lhsmNhJP_8;IY>6D?*?TJ^`tS z-v`e{IJr&1*y)W5*ZtmL?8LzIy>+@m1}(w-R2+&4zxK(mY7~QW|3p}25UwP`lLp~W zL})Mw?L=5?5Pl-U4F=(097GDwHV89_a5e~5dj7w^an0EIMn!6u)r)NGHfQu`E{`q? z)N^@3-0$a9L(48$eEsgs_{|#~g&|c?LwAZ*3Hf_yP13zw75e2=4=v|}Sd zd?A02wSXjBLS!%i8-Y78+3ZxOj5gQBf+M2N2I3@Z`w~%zvP#fSL_g zc&KsLA*WHzw%malqDYe2Lrs|zQ36mRE$88}q^W5;(c3|69IoQwVOw~}sVMk<=fD0z z{UGOOmBac<=6DaoC9D8yZ(p2Qnzm$^Z+=0VK z++D@J0wBm??2CluP>Qs_2y!?)TOG-5&UaM=GB3KmQ(VINo}h4JOMPn0Wl>jNN;&$Kr(2&MrC}1ZA{2KTyB8sq+M8V_q(L^T9`_ za3g0vf5`jg=xi43pp#IsM`|iUwK?CxC;fxZM)JXJczfgbiz?K6Wk_|K^KlibC~(m8 z_*oP8`FfH5Sc-WDVg{VUQd797 z1)NFvWfe6QZchp~0pS30IDugDAmBU{zXGGS48vpuMr)Y6r)${gm6SDHevl2Po=DZ5 zyBBAm5h~z(1b?&E2b>SWP3rJF?}bb4MU5xd-Fy9rHIu=<~eFQ z;WxBS9k4UG-Z}}U`ex~Y$)65|D8s+P->f(M+kBgVQ6tIdJ`flVVECtf7#O}0A-vm@ z3S3KD7tQ~0Cn`MGL+gfYtKC#dH=gW7Sak5xz&5sn_xblE$gPMD6%NFlFM50LBREVs zLQdSBEi6S*6cMf?^gLll{3~YjsQ+mD-u8cU5 zQMaJjsAx$PZ8V{d`gjP`F}aiePWv!vn>Uh#@yNcuEelc>ZC4exinRFvMEZ0V#)&jS z!cL*>bi0Jy1mSH>D)jfLokFOKCcpU(3G$<6c?ZRQ6tTG@gjTZ<7t{SHV;=zFiaNdp z&ASdwy3E?MZ42z1X8Pq1#EvV#;yJtb!( zB?Z-9H~x}&haba4mmH#zf@alia?e@7xgQGTR@=z2P1Q*;<62T=JOd=V_(WroN&vPRxN>s$4EMbvE zMafT5qoBmS$Do3u20{6M-@Vm6JwxMr=lnT`On23-uWsGCb!)p--2`yx$EW=9)sJ&P zxBF~>cEPjXyagLFt!#91x}^1gIbC9>b8rzEw}r{f=;LjaMb?#^JKs(RTTu4N{4wi} z&TOU-$(1i>*T$IzMXc#Ka}>6U@Hb*zg}+h_lkfo1E%<=?LGjL0MZdNO#9ML!Wp@fl zj19n(qw$9BU!8Wh6SbIL~h*gT%mb2}f;QJe~F87cwRMHtFjSA@qk{*sb zKs;27lxhsAE*GlfNoB=e;1VKl+Vk`hk*@n8GGcYaU*oeVT(QA{t4;(t6d;Lb>&=-s z)IAQP@x)Rcl!yxg4RTnufrnMit=<0jpPMtPbYF1qN5>Q%_P}@!g1|l>YBD_h!=Ogz zD<}LqE7YL6(NTkXIt?Bf6=#gheBk`{L@$@Lwpj6&jRs(UAf|# zkLMM1<%@*4yD^}&E`J&&+Oa*O#SFaUseI5X&j6_R&C(bF9>Wn{Bc zZZwD8`7`0#$p0(si&e2Y=<2d{C}|}IIP7L+kwtDd|9&u69};gjkDDdW{fiN+Hx61! z6FLeH5$gcwH4_gI1K?g3g01~m5 zfyil5w@*7G_qOSya}S$#dTx*DBXYB+otk^d^r5-dwBvKrZag+Oy|BOiFjkNwRyk5R zEn&T~@DQP2I+rcsO2oFDVn2hH&@!;1rGLa4@1g%f>8~LDZ9;!KVk6cGq@ndbipi~* zMRQ{;4Nlx;t_l8)Z=UXgVebY4{;RjTm?y|&#QTm+e$fmvm_c`B5V1aOm2BBZqBq`xyJ-bsYv*pHH=}nLV$jix%gByl|tQwzarF+@erE@E|t*Ez}bUZY?`Hr z4TNk{Bi1Vp@pE`+xuCcRUSu8ZFL3XfP`1o~_(2wN$nGH7iIJ{1<2PbW#os|Ik>Eu) z!D;o@c?gAAFc}epbLbdy1cnz#WV5i1KsPK(Sg z>>RP$Awcx{@4wrnwA>%De#dWg6tpvj2iS1Seuvw<5v!leMStaDOBT>A;bH}7m5bN$ zCh$4GwD|Wv!f9J~o!DoeL6`^I3RuSTl4JNmmFu)OIOA zBG!>aG^4x@WbFV12y2;mh*%x)H*96db6Y3subp769$81MAMhyTUoz}fvV(i1T>eHY zfA)UJ_pdSJZ?Pr-i|rid4ACkQHGv4seP17E>)1fq<&xKJlC(|OD#Qb_SxF-4nt7cd zY|~64%$01$fJDU*!jqGep*xp!*J@5h0Et+K5z&aDQ=qg3s)7tIb~89zGstGb{*uAg z9Zm+{MI2YhsDQ*Vr_)vo7Ot^kD1y$i@aHl5&L-!!* z=7SEhdUFj+aIq(Y^n$(-YZL;cW=_LHr0Y=rwFclXTE*SV&>`4ecqVQkyN1{ioVMxZ zFFKC3pOUflUZ9VTN{?87|7n`~CiFNE&A*VT%3i)!5zVv6Q8(fIW6-MX*W$?$jiLLz z3+R3sC7h=U=kq}1h$g+@Uz|8_xWGvV_m^`I4cze+eoO2_bH@i}@eE(08AwB=IA-7v zaG_?v$_Fe@d330S&rVA0IsCD2L+jU`_+h^8KtEZF7M2o=;7`Qr&LlJeUGPxL4Xu_! z*gq%q@9hX}$Iy!+)>ga-t<6vll3GSYtPM`+2Mk4EO3U$ByK_R9FcdYN($d{NoV>vD z;A&616n^I|9qdh84SQM#;nwotPB@$F%*~ch@D?70g3D<)j8Z=Q3J0PNPZ6sY>d}b0 z5dX-;iQET^yTHMTRBSIihz)N`e}#y3&1$D&b>Bbl=zURPL(ig0{?+PK?5}uo zD%Q}YlkNb}K_zF)JCmGEMph+tZM;^+5M4u}J3s`s?jwS> z^1!k>tRu{Y^7A0{r(gv+T<_*kqdDX-aZqwdl^if3joU3lv=@o8HHTy4Ipp44ctFH@ z=MP~lY^}h9s8sA>%`Dx`Osr-!Z&hdDG>v3&W=pDs%nZ@vBzhG@D9*~2ZgC*1GJdWM z_TR~H6f=b6Qex}^QMw06F6NRK48YioA=c%R;bEG}TRKD3mqe#(hNI&ds{AnU5&1oY zszna{+#JkdhAo*$Y)K9)ewX&*&A|}8OQPm{Dbmj?;zbhq-6hFj^Jn5gnpsR7TO!tK zl1g!wYH>=4Qwf}i_3zy?Gej4XXqskrhbOa~KpDOM(f>IaW->$iH^ySbk62^f3|DD} z`w;60$?)sncGEvY^kV=-Z8XEq@eEad`@!r||IXDMw$?dCTCF+MF!5Vwut+l{hXj={ zL=h5Ife0$GfC#1^%c1_Au8f7Pp?FZ!*G)4kbu(j64s{qtobi%bm)$cnL2LHfFEIAqShNwrw~^|qSgj|3%>bbyP!#_~^= z#}UlCewh{idq{cV#^R!l9dPqXvr4Vg-Vn&4r~kDJ!5M+l7f@h%n)SXur4?L{_q4z) z&M)wkQZN92+XTvZXEHeZ7D|Nmuw(Yf*_AEmrJoYwt_?!meS0UC9XqcZ^268^!FH6h zZkPw+mYZ;k((^*uX%l~ZZ&BKpl)Odh0g&JprKSAY(=AHh{Q%-aQD-*`ao0*APP|2F zC1}k3NuJd+t|mx7Wj{?Rs?KKmen#_F z>;+x=_%ya;>mx)47LD6k&aWO=^)6{QC7nys7nJmQMAjEqu-y0u>W5tF1C@FTsf(2Q zR-w*<_JS+sbd^hekW!yS>a&#kOrfTyqM^=nskTE==Db5Bpcf9v;Ne@=i zFFSzr_kT(GetVOo>_StW-6YmMl5IeY8uk-3+4D@c9LXZqy;~4RM*{0LP;pnVG89;V zO$jW{TQ?#SOS30oX|Of)#^smkKVAy<#}A)8;q(fRw$;5orrO`;3S_)JG|_2A&bz&)#xq$Id7wIo;rU&R_+ zU^KI+rWk=>{&6ki+O}Z+%TuL{TV8hrU?LYVay269SH#2%%~pkpznAe(BOW>YZ4&`ys4l%EZm{6S#RzdP>=Ec+mT@($RIaIh-;iG9_xSYpHd60HWV3(zB=29I?M@Qjx3Cku?EkwI&Di!*zA4NuPO$Sh$rHgO`mP_G!q|9n3S;QD zr-N>9ElhVQ%qkE$g*mA(AM4);5JsQFgMHV}35?F-bXXaEoC$Yllw}5^e`Cx6Mi;;4 zF#5VOI)e;Ehmg?`0KlXJo)4$s$zjycjUwHppo7#401~mfF+VeazMug1t=HdZTo|C0 zfi%G+xnr)kaqzPRD`%Txrbr*-!7(Yj-DeoFb}V$bU9H@{lLjs}ogyvZ3q(dL*ve6^ z|HBKumKK(G`%;W+u>gRId=o|{XC=dQrT=Wx%3ixpc3b-T6N_9*AyOz8$6-D|sk0tN^%`0dKzd`du;Sy?( zUFc$Q5ep$Hu{;_9T#*V=3^>pM<4VAv(~%7+0GSdy5EOXN0FPXgvU6P?Q;FHb#Z2b! zbl^@5Amk?@2B}cnS%UZ&lPDcLZn@f^*bXi$$yxO?$&FrlYdJ(l;UU8 z$#YhR5?=4-&{K05!W_m)4%WXBSudB~kbN6B%BKzsth?FM~zv?HUe-vsi<3^u5+n#mHK2-k5%e1jI39- zz*&89HW|b}`v^C&F5wBty7rP5xJnDb7XYW#a~(eJ5&(zB2qo>H7f-vYa)WjYBlKt9?h-puyy0a1 z+<_Pkep>c+_*2~uemf9)ADJBd#OHPh%z79Knz+gGELu1>S-^1AdI&GF z%Yx^2e8$0ibg+0kOW#`ghMV=|XO6t7gIlz$1|thhOXaxQ$M$wu)z}0!kEm6L773&j z5a6woB5^(iBniZgRDAPCT0o@EigdFgWh&CU`j(|g5{Mh!_lyKb_Fb~O2`*05wAqRj z*0N>5``JJ(9*VBTr6q zEYsX4X}TULX}X^(X*|o6$!T_=z%3Io7yS`!4|CDCp@Ru?(c}d0Fu}1nIkE}~pwubI zK|JhsnEUyX6Fh_jH2<@nbDF~^+8oYqg}PsLf;5LK5$TLM;kIljP9VhyP=w1WfD)0v z;j-Cyt}nYAe^J(yh`bM$_rN{eUM`Vm+R%O7JexGnul@kyAID=dyOilUv^6mejQJcf zNVc)sVO4geqIoYcvMwQ+iMfw4&q}gR&mdVu^7b7UTQXJ6NVwC^pqxRJi9p%?PCK^W z`Z60oEG+M|>!0^e`IuFPvLPa*O8lj%4@V!xQz%--0JMP+e+FrPq7q@-89$O-esQ=w+c&%-ozB zumtCBanZ*F>p1M20b{g_@ww)iO^p7Eae`uS1v3$Yq5{TGNYZjUQ1)_BzEG6Uegn$S z{e<(Mo>k5XbBP39HjsNr~R#H)^QHfho)m~^2geN||E3Sk(yhDjpU-7dzLiZOv0 zQ-Ki?V~lxLR$dAU40SXVgGg~ED0cJPn$xH%(d4K^T_`HTgL@`=usNe3$NI7={FRC? zdzw`pl>k)yc)mrfKc7LVB2m(YB9BR+*?52vtGB1k$MCBxf>_)b#vfg*Ed31Svgh+7 zN>S@wG)@3cBt+Co6+2iqMF2Gr*E#S_^~#;9Pg(9)>Tgvp!?vN+<8%B_Eb_=dZH0 zC|Hqk8!=|;4mfxo8^wD}(dwA&qhlP;<9wVZpWHga{XP%AGBk84wOfXI`y@x3^X6LE z-!W_p^}Ysh=}VI2-Xn9Rm9vni5Uk{hz;3-$CeHtxkux`ZmDv_CTC1VlKEgEU>^ z+1=M-Mz}TnOL=fDzFZVUwS0_fsVgp8+a80ir?k9{TfxG&eYttKwtZmMu`=um;^MI` zWh^FC`&w8WcieR`UYv2)_0U$f09mlN?$x({I2Ad92hin;fTbLj{0wyi{BfGj9EBXA zZ~t%)0k3S2Cbl`tU}5^w@9AVNLhuH)joN?&C$sY6=^3;S%Z{ynv#vB z1}STOQr^pyD>UUtA|H;tW=X=tk(Za#bD4@xMHqGc2dOaX`Ztm!jJmw^eL&xGVKF{_ zT`(StZBr#l-_HE;Zi9G}{0@84aufzntThat7XD1)75U+JaUwULXAV4)dmF^E;WQ)J zj(ARGm!lI%no-zWJ{1oIUcFX$uKg9>a?`}osPGK%*^1VWwb6?uYtP`&HMp_&o&Ax6 z>}Nbsd)p7jp}4--f001twZ&j0NlHd-C#`iR-ebFq?mmDNA-50sh;0LRl&Z0nj={eV zI2$a{=YkG3c4u%=9-LcTG#5MaWzF<{`zD@u1RTQfAt;; zhU@R;>e|v_nzEmp@^4MKG5CFe>8glfburQr1%+pi=%FlH+Edr%;5f8uEbu=%5*(-3L0Uqf&KYJz4Y07g4 z))?Nlz0a6Sr2cq?ZNYf7`oP1E(Hf?${tFwe{@0!~TC0#i#*8Lv6{DIE1v~i3L$p)> z0Y&)Kbo;6g9R|}1{@oHnL2VUarWSj9ixfLci!Fgz;qfWHPGK@=X99{ZH0 z9R77Mu%U3-5au4WJ^~@$Lduc^V(!hiB$iH8mhdGTYqZPKXk{szx%JoFPHkt|RYjRo=gS19^AoL3wv?k4U=)^%ySU#yzU%k2wzcbxOX5en~B_ z805E+&wS;xO8FfBttd~m@+pDn27UPK>+*Rp_{3SLcj&WH6|qj0ho>=P2;=^;;L~zJ zU$r=|RN|(-!NJPFJ+u@h!Ah>>o#ygep#1Jfevbmbk*>eK4R(W-eHx>oRIIPzfWL5! zdmEIrqF?^QYj8DmBzuvBz}qUs}K*P_`z(+$c)_JL5vGOUP4%C z@~2SdCrfa-NBQuBGGe*AXm6|s7$pP78$nvE~<01Zd7M6abpyxQE`4j69;QeiX(yO{C#l7I?M#h4n-ee?}akzEC3sR zPom4id?2lWYS%$CXx0qA{7N#gH3JF6D8MI!MavyV=Vemu8tbWcH4*Dwd4PLV4PB^$ z3)O#0)b3HZPz??~8n}mkRwcnlsdmlxJ5_j>R^bz0q6!ywr@A~U>hh>j7ZZOw<7Xot ziJwYNJc*Ih_ni1t#-AgJyIzLGk>I1Qt~El}#+b0lP4JsKex|D1uGnx@x1MfxQRVg5 zX24}AFZ(A)e$2?0gV26Dy}AZj^?w)Sg6ME$hvUh>JYx6jeu6E<-Cz3#8#HP z&5^)(hl#bImG-y@wdX-}NDsy}{71D=DOj<98cjsY17rr@FfNmyIr0|AlC_zlrHiirKEPlYNQ4#c){$7L4Ym7G~R{Wf-e%bsu{e-b`B!lzvW*qgIhJlF2-y zR=u{@Ea9L`0x?qJTM{GNmN<-j+es{Tu)>k%6I#7pHbI(wu*C&c>&-}5lWu;ZI8Zr& z0VD?_l>^NCC<+P0$jXO<8(a=1lY^+m9wmewG{yN#+amUBi6em+V)@`)woJ@f>8)5q zwR>RPs{&0yXSgrkjJ%|^S85JJG>1pf9FSi~bC5ucn0#_*c+-&;FRlis6BTEa;tcyj zaKh%tu`&KA@jI*~Hjjfq;7ic+B{{XQ3oR4R%D zVwmBB^1PrFo&kwmqzF}tu)mh4S`j1=0{|a{quug!M|pS=s@)v}+_(+|@aENlu9{Dc z<}(i_13FNv`A8u8H=le~FLvbi8jc%+Ut9IFPH|3CoCd{_Ky-0FIJM9v%$;)8>*bww z=$*SZIm5Su?8R6h5hGKWX}8;Go&%-48CT~u12p%kntP+>z5-1ZrD)RJB@i8>Pwppa z?m6n;me1Tz^W->ZgPY?|nD1zgZ?%{5w$U7$HOI3wM_Y50K=hP8IX0kvs3)bc+K^hE z>em)U>AXSsZdDWsOuXhFhFi?$9fBe)=PpG^;jUrSTBmIo9>aW2XWuHg6|XW=T9KQ2Gsy(Z=rnQ)*+3@-a&J7`stwcf9f; zfv}4{d^}w%I#T)&pzMoWa9Z%uc=NX29jbQ|HHXET-xSS30%13Oa%k(8^bh0#iLF$e zsfu%-aymnCB+&n2_CFzc)LmdXx=5_6V8uGtU3yFFMg=TZz#IiES3n8$znlFz2N0cC ziv8xh09-3e%T^x+tWdyBpNK3g6;J~GuV)|c0K!hd|BZmRDBzc>pj8U^tTw%B1(ZM- zSiU7GNjnD+g2VY=0v@S=l?qs+fIogJ9M&qJ1o~gnz6f<8GUVAoy8%O*m^uJkXDVQw z0&f0H02>rg0%215mc-$u4xl*1PA4EghQ#)zfQ<_Hf&w-vpajB7`T%xu0D+QXA5Opl z3iz5ft!4!rqky&oN+67&58$fTOpzft?0;fZ68lcqlde?2EehCK0b3PN0$~q*0B>*r zVVhIzQUcCVz#a<7;{w=pX~tWA__Yif!Iv=*>s0cVB*Pv87${@M0Kvsne>0gNYMrN5 zo24ic2ouS-B$N#cSDqEeWm zgL_m;ov}4{`mwcB`ys~G?*(LRy%v$Kv!02sWc-VWho4AW_}dO>Ifu8Tw4FZ6{vMsS zju5i!4eoPCyFJ!Wb$W88_S>D1x4qay@%;x5g??%^)}ktNl$wHxTFOFX9l}1FmQn&? zQ+-O=G!NI^+5h^)0X6H^a|wz&WJ;VO_tzr7^#O|X+1^s*PZ8-B*~CA?_%{$=Vz-AU z?1lC~mtBLLtL)ybjby5_+g6#Mq3lW^Ot%laX*EveZi9|jRM@{`>*JF6GoAhBOeVn*yfzl)4nXfC*l@3A6t#+{ok9xd!qKUryM;o2kbiA7svoWb=V&Ng z1ec7Wrj$aSPq9$Z*oxj8KX&)Mphn^Pq^)ytuw7|SxgFn)&9b+5APv5U7HpD>`9HDS z73*D)di*SfrR#1c z_72AGB2Ok39x$Ou7r6fNK(TyjF#@K{3E`opeDLfkYCyJikZoNlrmXq+n!BI;; z!vjBYZe0&DxG;qIljy==bT#r6R*FRYwJNYFYgv84+KT+hrC0~OrWTh8^Ha}z_QnZ8 zo+xNx4dhu(c|MIh-y6UROwGur#cw21*Z^sOpx(YgdR2b^5B?-Pxd_Qj0xgX5t4%0< z4%G*=j$};SgN*BfIFU?X)(bGQiPHHC6pnPZ;#vK9jO;Jb97Yr6{q~YWgM4Dcl==&( zN$hrDI+b;qCdg)j$4mmS6j%i18VQ#cR;@TJV<4hEn$D~`3#MJ9F&0xdF$Y8u?0MR*( z*u*Yn>`C5Ov^B8%^)3L39f7E&EiVUj>@#ATQWq-zEktN-r=|ARYQ}B6thWEFh{x9B zTK;&wv*S#^cypZTn-uhLf zMKg)7zM!pzJRO60CA!{3C7Y+9y;Y*URfNag9SV;u9v-eMm(HjHb<}{iC*3K2>rfJ$ zo?C$R=DTrpm?wP$rIk=R966@VK=Xt<*|_3R1h$a%38{a`2_=nI7~v&F6>OezXfm4D z_XR>cB$xN)T2|tVBYZ(p)&Uj`f zwjX0(^2Tarr5^<5;C=!(#}P6 z4y5EB5h%L@QCRt2phL^yXi=#JeW1)^kq?yl9R4IKGju{MQZqaVQM$@yhY}riI$;Jr z+a6qy3K2=J|Iu8BGuIXALX2F8qz0BXGMzTI!;y&BXD&!7=$e4mNzw3O9ObCj3gBRX z@D_i(K6QXH9Gxi~iR&zaq%Hr7AXs^vWiM#YGwiYJU`Hjl&I!3a0XjAcDC6oWo<@;$ z)_>;aYAI|{IT}VW{H3KNFPboW+G90JOj?5vxem|_|V$Bf5$c*9mEGxBACGFRt3t6nZKz+-qwaTRg9si{Z|{O z4pVZo#4)U}w?o&YbmK|)k%#U8p)1G zvhRpm-^lP+zcUfFZq&DdA^{Cdm?i?sXhEouyK7IU6b=VSl_rx(A$lh$Q8l~05!tA1ZAPW+U3Vy`rFb2$~wbWGBDgh!U= z>xYBU@+dUOpj-s)bW!=yu9f61+P$B!=sD07w;lU{MJTg$MCqb{d6D;_%o!=7^c85S z+yLgjuj%2g5IvYrJ#Z3Qns5vguG_Vf<`G84>1j;t35Lx8hac=d8pZ^C?~SY{Z5h9V4jWC>0viHT>~5C`bA-hhUH{7K!jj&%L!?p5X@=CU zw$;b4N4K^C<=a3!MG?{cPtAr*&_Xd1+k@=R zSw&gN@TFyMWW|~`@2>bvm*uM{oQ7)?^R#i^-$d1j9hksB2HB=Uq{Z#2Ug~@ho3U&s zh;Or;jzqNQELl1gOjF6^Zbsa1)c_%!gb6VS8KrtA#_NCL;I$c&Xt!cbaF`hGWr71q z9rsPrIyjlxzqws%wbS@6W(di1e03)%S;$I^$xx2Am zWg2TN45J7O`yld%g(75p?1EzoU z3z~2>8g7bumo%dENR+7%QH99jTjZZSda?+yRGm5=SBPwd7^4uq6+!|dzAicZGHL8) zg}7cJxUPhP?5_|56+#02y>ad*!~lgjSs{if#9G)b6f2|<66o)4a~2`Csh>k5RI_7;G$e1B+%c@W*8xC^}raX5ETj$T`drm3L%01J~kP9fH7 zi)l~@3B*1x-;#D02M~hm+97N&Dnz3~+@ugq3L%01{x9360OBEqn641b3UQ`F*a{(m z*vsWx5*IHM;%tTZmqKh&h9jd%?FPE}kL8 z=?Zb9LgXk!JB1ji5EAI`%QA@&?G)lvg&3j`OH|Q93L%01ZY+loVzv5pbXJH_3Nce5 z#w&yb`g@>kybKUC72@+%(y%5f#F+{)MIj{6-^Ju1LY%A+3lw6iLhP#$GZaDs{k==h zCd3ZeF11P&qF5m+R7=VgLIVB$N%kc~twNlw5ETj$RftN3kU)PAlEzB`QK%3{Dnyk+ zv{8s^g^)mhpOGjbj#G%%l_Jg>g;=ijQ>zdX=ME6hZ=F zFZhg)qPKD(fv^{ROM)mQL^p+qDufK(@LfnP)F+{mIdYKf9lXTcl2~1eLb0L171PHxZ)f%c0qZHy|rQvWw zyemUit5zT|t%zEON%}qe1^j$5%dg2E(q!CH>nY{H-i zK5`yig{2$Jr4z3WpUGs0YqET$<;na-`dEu(HAq&Qoa}Ta`egrKHcVK|gR>^YeIByIMVlkR z&EeqA`d}-hfOYJhgM+QNWtIe6Q;7K}k^~r_X;$ z)#lx{7*nPlC<-dH(OK&Hd)iy3l;>|F;y?UKWZSe12y(Mo)FB0G8HlhqX*iJArANaGVO^HdV)j4`X;8!|5;ME{B`gUW|Rx z8;iXwY`iA!h1T0E;JfZ*sMo~h{jdm0FW00Ld7A{0XLw4tk8-$}2~PBIXu|))@PoZP z$VQxrEn#emH&!?tlbX!p!C*0V3fqecsM+Dyd>-H=0=@pd2&kC?GU10Z{0WBBJINK0 ziA`thOmD0T$lWKdx1aeeE*tZGf%jiSsxvgz?y}j8bwr0bS&qZ^IGCWDhdC2o#_%@Y z>_s*v_HxF4^PPuvBO4EQ?Z6%G`;2z_0tOggs+fQR$zI(i=i)njVigJ{CjpL|AU2Y2 zK%Sd>dy8cfU$M^1K`{M0f;W3fx7@3dA;_bZ(`r=Pu7I$@u zw|ASqck%YDK*^IZfw8UlQxZF{czbGK*0&6h56jjEZ9KCQq4}caqRmID4iyslmv3Ed z&cLQ^L0?DZk5ZyhBzn(F1oOYE3F=WrWYa$SD)C_?u8I?9vae`^(Lu|NplqU|9Iuqy zUj^ljO4$TO$slDlU|aGX$`h4x9VzpZC~qSrzXDRkjwRalJXqZ1{^gZ(jr@B%@{iwH zS+Y6gZoN56-o^zB-bxj45~&0D00r-hQYC|r12`C!wSVztisl_oMxXjd#98U=W1D@p z`rv$41h-ua;M&svBhaGL|ByevoxXgT)ZmX)L9BTN_`?i7L}vEbO>8w|dwF897w2rS zU-BB5mGyOuUa)@!eW){A%p+cwD7=oVwWods<}oPZAV;>5uqYWM3^CoH){oj{z(xDO!S!Zu-R6prLO~8rn*6xSc|cDcw(Kwhl&5T2Jw>+ zpWCA#BloaC*)?qEMX#n5v_+9q=o8idQD8uE9_Ej);w-_3upF)~SFWPO?GVpb+S-{A zq(e7F=`JPRS6_-O>LR1EA(ATXkISAi3S^3Vg(f(G30^h{;>9(w`!V(bPpng16aV`H z#7{#!irY5#u!56Yu5lQ;TJhJDVzeSO&_EnfLq6y!tI}2Odce1@;|R0mXs|xLX8u76*vezIJkt~0Si-OT%LoXABlosGhrF%{1rVk zP8D5mU(-u+ITBXiWcAnudt!TwHPh`cA=4X?Dayi;gw#nj9OFUPoKnyo!Odv0t>7B} zL86?W2kId4+dG0ap;1?Cu8+IQ6?6v6-C5FohUJo%=a=jy$Gc9 zU8E4kh4Srsa3T9J2W)e{VC48Gj|Y?0a_uUr7dN!gF^{*ljjRH;wDxC|%MxOzD0a1@ zjwbGM#(ndJyUqPQ2GWT`|2*^q&d|Rf`-D_@6GI;K7)n8DzykVN)6db}7JKWRiFyxE z5#J>BZ2DN~4CgD+=J_DH!b=2Qe*~9{#OCN|UWgYc@p2O9f><|n^)hIKo|zwBlOJBE z(>PqjyI42_*!x(yfhJE;lYO;xJANLOw~hI0mwm-Xup6!iW(8zl3Ev2(>b^&Vh@~n| zBG<*r(^2H98ppgv3PzhhYXtFn5|~O@qQrl{0ODJch+ib}JP+}5CH_AWpP58lOyZk8 z#4DBfX%hEHA|6X(=_Iv=T$|mXiLPU!%`CE76?YUQhW%|NI-NxIULpu}J5H>`e&rz9 zn8o*$xI2j-CUIi8#qc{^5NRWI@iwBu);3c0w4b5NpcC^Qnqd{bW#PC5we&H)01IU_SBSn= zqT@)kz)J+Ve2F_eVjI*(2=TW{Y?1g5msrZr0ljYC8)Y-)*AA!A;puxtSoItbhA0B8 zQN6w2^NyUzVx}otG4|(MEQC8V~oI>!(am-(QCA=f2&n7+;Uuj`p-j|7WGzxc6J z$m$5@^EB##3}GONJig)n8op(Mz7btRF&@7H5gyAyQw-siC+o)F`EgwHsgZTh0yp2_ zI(mB>4!7Fhdzi>lCY~hmA%@uL;7r_|j7vitKj4ry{bCjE+|BG6`Y%R5g>mJ1pz$A9 zUgF^_TQloVz*j!e5`(jWY*F9f45~d6oN+Yeh^Ut)NN0kX@dTW~6kP8R9j-*1o&nMM zhR7*`iCe|EA&ASh3I{sr`e?d&jO&Rwlnd7%u@=BnHq_J@)_Qx=p*Zc6>T-3ACcKsj zTRwI*NsbqpxG{`dhq&Cm0;Sb3Y51K*KQ5d#YLC;7U1PoQQetP|&Z1fTnrW;-?VhM= zf6bs1LGCgcV0kPPykUeQR=9Je(RH#*|7oLC12pLePb2ABNa`)r)ErT<8NKmSL#sZN zx9-c>YH#)sZ+KWZNpbEXPP>1=5gEmC)^MCz2yDm@(_wM3ltqnRkHy=jWRE43_IRje zRStK#L}!E3O|n>CZ~sa#9_Y9T9UunMm-5H!mE+DBkA)8zoo0d!mxPW_@6{UxDX{GR zi*fp|B*B?I(U-PlGe>|J@NE&Pas*fm<53o&r};bO250nV_sgf$6WTlRaoxE7}P} zd)c5l>h(1)*MquVCU||fg2cbA?+ZK*RCfDrrZcMxL87&6(&MTym8-^xr3NQYG3KR- z#b*?2P=;Eq$LqK;ZG2B}R^BR~0B>EDH%MqIwNcOP&{H&6CALkC=J5}S7Bo5tdJ9F~ z*+lpdcegPmN|yz(H$aCQp>CR7*2wDZ>$ggYE7^BO`v&${;vPZd6TviE(n-7OxzuEh zZwz6JZK3_+`#a^EG!blHNmhnao(F{o>evzC?f3$aTKoL)C-(Q4CDL&eyfs2nP4)-K zgs$VZ&)5_lG|%xuq{y6BvIa?N!y{Km#;qn(D$GN5)#E+%S}0BQ z*y9jc)jCx#BXrLQA2o(4hzfn#gHm#Lz8qDAFCPQKg^JJ=$+ACx&lwxvi-OtPkSCQ! zS6UKB-v3+ahNFjsdZudWu0(eJr7IW~FWtk+Tadi1L7R$iBt21WGpsog^na?TemmB~!J&6|@;a!*D$T7a2O(hvy-mPb@m?151*V{AmEjFb@0o$_nBTwS7Qhkoe#;=}+oe`qHa^fK1DIr? zH_0fdpAoMZ_x^W_04t$PGKg8P3IDD{!doOEU0B$gSE+pYb(7%To)VjHGOcBb5#FqZ z^w!asA$WlVy%PzbrZST;B;_QrK!Puam!6EVRqlR)vO)MoeRE=iMJ%_3pDUx|nB;@E zy+VPt;W+JX2>gOCMEhl;V?GJglL`JK2|RQ1z)25H)u?8u^2 zb!0|nWaQmw?9yY!r$q~X!qp(aXi4YweQ11Gbt^bse$W_xRm6jd_=qB6oWT0602Br> zgyYN)_pK7WQ2Rp(s<+<*Ht7E(s%X<2f;>-=tB^@QMTUgUfF=pq@*t-x^2c+4JT{JOZZa{kwT$iWjisdP?Ms-o zVbM~cC`mgQQdkQ)VBEm*PH=5W(OT>eMj_w&;A+k|(6bFhkzhkkjQk()BYN)uoL))J=U3HGQ*Xf9ut1eoyvu1<$wQotuDqY>V z0QVF_%tF{z2@faXQ!b&?_r*Sy;+)M+FWUD@5cwA;4aIQ~_gBRA4*+qrL3DJhq*e_5 zD8bA7kKp+YKVBUa$2&mrN{N>m$IFDS*C0>1Ql*>HOeD?ctEIR&VW&3K#0_WM3dH3e z5|}j#PQ$qe2TEEXyxaq^VcR`WmWwcFf&xv)@ES7)Gy3YG_$kCFBEFHH3AgV@_@$aJ zW*O#OYXr;GgH{$?=71ckAa9a*pi8W4*;nHFJG8V?^h@Y#v)Qdit(p2JF0Q!aR{L6c zOKO0n1EEdVtps1P^J6CJg)jlKu=L~CSXh$FyW{Q>b<`VEOfFoBSvXcXJb)a|T@^2; z2~TDCZ48%5)$YXI1EqXEXX@P*rpE@L znB^<>RLr(0rc;vs%EVb@Vl4$@D$IlrVE96Y?_QEX*%|B$j7UyYptejVr>35T*?waH;2lYs12!)zD?1}mOy`}@KQMUNcwf? zppbs5rjO~{3{5YA{vP4Oksix_Ty{IOB=~e{EQOmT5nM$ghw6is?i6mHW(y-RNnCoMm01nB}J=K3XVg0&IcEOrx*R%`nboz-VL6duGXA}F{iqv zDk_x0xS*NXUW|Rx6YGp}%zBwyqeC!tz&NWBT_MIC;82&wV7$NKpo2ZfZr$MpZWL=jRQ00c;t z<@J~9!)`j6>_Fu?RBHtkW-Hk6(GLIMQlQI%C-2v(x98u*cWwaJnLUAS8>9$$t+zAo zk>mOopmO$?{QG7|{(k!u-I%{Ik7X*TmM{c0*%$B$jWdBst_Aznf*^0cl9LOienU-$Q#68BiZivgxhGSjNf)iUV zL?$JgA17m1qc=i+dz_5TKz=P_pi5^X4Romh{Q2wBnWW^_UO%Di`wO!6Z<0MhiMrF3 z$Vash+h&2$>ldr!O|{o>jo59I`(Zv}@6x2J|8f6i3fd%eH(u`*u=|zpE^x-<++(V@ z=jpsf78&N5`X?7HwZcVp-2T^T{j0?{z1v|ZUg&?a|9z##B%9|nn|92m)MVr6!lD-> zm)|89(Xx;}mZPC*SC}-&+ITbuus?d>_1Iuu^__t4W#G|*#M0D-gR5!!1Esuzr9QZX zGh9@H@b#kdbsZCKeqD*anDI2bm++q9~?*7btWWCcaM- z$6MB+%f(Iw(fvTT8bRZ)TfZCy8Df_1$m*`=#rSuUbbTu&ou7SqBc6_Sq=E|>u0p+q zOMvZ{AVc}Y&1z1$m!!sG*gyKOR-gL|z9S2iS~~irTIz$#Q0hk(i9op`;<5UD;I@Gx z#YY)jUqm|_#GWjgw;Cz^W%1pSA`8N0(B9tmoXFw~N!RyLN#`evmzd7j+P9Rw+e^XT z&ew!J+FHRo26%?C+}?$Gd^Bred*~i^6#-aX%27dXpKvg4LblIB1yGv0N%K$prQY^h zB{t|q;k4QqS^T!HUNWfnf ziX>bu>sc+5y_VfqwC^i>v}gYYo_#6A%aZPK53@IPrpa4M^p@iq!XwwCY)xpE89GgD z;#%D|%GIVjz}5N6Rg<#-Vd7RXZV2LXao5MS@K#H7P+bclI1Z|H?5*ga8bM}qT_xO~ z~acOkh?+`kw{XrH({F>Z^EL7Z?8mbWU)N0Y7RUKK$$L8Y?2X`!t@pm}+N z3>zD;G89X5vZ6~jSuARD*ISp-T-i4EV`3ZeC=h;R9O2q4NcI}Z#-YH@nYgalHIF^v zB<8K1aWTC74dP1t;}$U8<;iFzKOl6pe~MXfq!NEhpOT*QE8-6DPxr)ci2DmA@JV+F z_U2<>`p4~PMciBdaYO0b`J8{;w4I3Kf~QY@>mb9H0-uQ4*z;?dp!;K&aAsuo$ByEH zS2XXaVkkiM%c=lw7di+ln;078unk4~egYc*p+UbR(2B$_%QU3Kecj&P4XUPob@mfC zi0zT46j)?UIUHa6+>**qx4eX6A;(8G$M^P_E%HNWgfqSp1ShPhbs!!i;)f-Qm2kyY2^U?WR_mb#z_dZPC;Et9 zQE*0ss(PKBLbJu!L@i4E6f8QCR(?R9AJc}*d{|Bev|`B{=K~^R(&a1k2ZSd75}Mo) z|I|D@1=Kx!(8@k5!;K}a?F)}Yep}waMK7qf{^;3~+JwlKpvZ3Vq}qzk^b*p0)tq!Vy!J?8uPbgM!}#O5SFip&OudlSwzw(4l&A%)*$)1{vGU6B`-# zjae9LDhYnW9Uw8dgOq$flDE9%Dz6NsP28Ux!K_1EZrAB~iN^LWyhF`&3lK0$da^#|2RHksw6yeu&>hVjceIvJAA{U^~ zfPd5`JyjUq3XczVGNxU4_IO!U=wixc(gwA__B#|r7h}SD<7P=wIVF6K7Pb!y^!JNW z*m9?LF>#$3_Z8xDy9Q?c0=t}8;2R+)7FaHlx&kkH{y}aUg;h4Aj7gf$3J!wiYCdQi zI?8CMg6Vmf{fF6OcE;vVN)!RB5{B8U6y#QdjP@{_R!C2Gx!Lk+B{`QQ$0!Nf5*w<( z2+g%h)1NdQ5^3CJd2^+AXVQE(U*zJB1+u{J@?!vEZ|fnW2SL4FQ8#fMwNO(qXBkI* z_XJ8Pm7*nb_kL$+qUV|Dc1=_%ii^!(6iJ--Z&sQ+Ni#uds)R;X^-Sy(#^!lrWrwjE zAeF4=A_W;rvi;&233nlI2Ra%3-==g2knX1!q}$aEs@S^P{YIR2b zXr$Q~6~Uw~(6`=v!w!x7_+DZ3)xlT=eos1Fs|i^HB1a(#6k?!4NTB}}Mo$m|14ER| zdR!rfD8wLz2q}aF`d?vmJ|Rw1h)D`DN+G_}xA6)gf&Nz*9YBb5h3KP+CMv|6`Zh%& zB+&l~qmS_|&)5nXeOf=w6j@AFh+>79p%4=2e}&Nlgt$#1-cX2Qg$OD{xk5;w{}o1~ z0O4pc+UO8S^)^MSP^2_Ps#GKi^uNidEs$h2eVC@N()91@TeYT_K>uru*6>rJQLDYu z*J$cF`c|u{CD8u{qjIExKTyn&G~gGs0S~+#jkMD|ZR{{? zZAzL0xmI8n&(r(%o=5W79F;&1O*By<^7UoP zhFB5jGI^7c(0`dBkCaDY4)Tsr_8laYeoHg^k`f&bO7>6pJd0=fc3DDL1vlurQ22s| zaASFJNq+dld^*-ghGof}E*yY-f?M+KH?nAzhM6r!ej^I~Sif7*4B9@;W-tu*xun1g zYz`Llhw)S&F1GK{7+8dbyW--FQ=ZA5Rw7Pd<4uV0d90nPM zoTRY5gt+esLi}BR_-FG898Opq;=_ckH1zy3S2Cx0kHYNNJ{Y1%UPeh=*M~QwjC7Yu zvx~3tmWeS48)MLbgReRO7Bdr;Pv&`Nj}86mLeXF*#xJ#@=p400WeORr!uSFsATd-C zd?I_H^E@OS!yUMrW8-Gf%3Jr3VC*xw5ig<2P#=6IrZGP{F}0=bP+sRmuXG`Ees4W^ zH}VjcOGkuP4t>*0FLNypU7d%@`m&BtOVU7M7zU23h)4)NgMd33YUH+S49MOIOQ?t$ z17G@Wp+ZY9-OEi^=}kAoHyuWz!3t+^^9Qqx=B3;Wh56_|7~FIO91J^tXKld5F}FjY zbQNguJL_G(^CVPToP=727jqKoRXoPz1TPuV>z8dCcYvXY#gKD3oDJFPIACQNkzd74 z$nR3*2eE5|9F>VjtzU7c{LV=)m>jurvlt&_i3TemP?<-C!hb-qY-}k2$JtAk`<)FH zg?A0P>yJMoF7-pOPj}GCUA=6FgE34z<*s2e+EMIq-uE-W=#na7REvxnWCS^}j8SiQmvDV?_Ek7LQ+5!J7-edn4uyFLP-drZbKM_%5zU4+3^Wpf87w&#+~AQ(mO_;g>by9V9@#0@(+Pi0R4r15 zvDH0D&AVM_;B-x@LSNo-jq82*{@vW$*Epkn;1Sk z++0$Zj;ohJCpM#GDIZe;BlJ>fRy2F&J;hDs@TI8*4KG zWWHkmCZARAfBYJ{sxC9sXEn+O+lL~mV^O_$6ftw~!R}igBd@8xM@87Xx9m-=B2gL@ zib!QinVNLk6GS9(gnp1^(iI*F%CuY^#7dTmkkPVFQoZX`2)+C2QBh$9A7b3B4Z-FD z5UfZfpxN9{J`EEny-(ImtPP#H0u;FO4vuBxxzOnvhHf4~ZcPM1X+kVb$x{B%*vQ>m z@M9c$)u9T$ZW_`)t!WuW!R!+#o6OjV)7Lgp zk#v}{ZzigR7Rk(w0i-5jcpe%n-<#t44H7*+LH#^de^z zVUgVE&hf}r3vzqsWoK(ah7l%93xb@uWU2S8t{I-WE4!tiH_3QSlF20BR!Z4-OL8j` zAkfX`JWaE4D$=~{q>+_TPd1XKjhkkIrm1F{A}38+B5M;RO`4lV+!x`0!89YBH0g#`2crVQ_OtYMXJQ4P~PbEQYMH zK8sNpq`%Id9)r?d+37E;Nl9J2gcG%dYpw&gk3483nN-5;q!M1NY3^s5%bheyB?JrF zW$3a5dM%X8Tf$qZB2jhA3zs!$3G1|k@@7i-NqkHw#WNj5d$f!E@aC3YZZEQ@-sfTr zpD`fdFe+FPyJwrviH-7`p_>0?MmGaX_JB}@)+Ku#7VDg@B5BGJnbtFMMs{Ruc4Syj znSl(;<_u(5Prn(6jv%yVaGF}XYJTl&L~uSEaj<5$AXN<&E88`1soR}M23PemHYZUM z!5iB7|B#ABljWYy|BvL(KUh2H{o4-!e}jVaVR^m>6gtKGuVMYq@wYr9!gachg;XAk zG`SJ)vYkc?wIWm*nU)0txj1OSOKh37W z;XE2$)yP`;2=6-kyBXV6!&AX3Y>Ta|et$JmEI|sDF=Psdr8UFb?1Gxgbh_|pEgh2l zph*@oN!Uq}>Pgaaq)WS5Y0F7_fe-Di`B*o{9eJYo!TIp)2}Of-BlvA$@9_tZjt47^ zg7*e=1vDI*n{c{lpmkUe>`IHTf0xB5y|)iyz%Tb+?g|Con`&&)rOpT6(o1q1FXuRyR~KF zj$>Rq#PM_2>7314fwCZerMc8=RfdDJjaJWQbD1s8rE<3*wT$&LyoPYlTxL71A)Zc; zTExfkNhsdt@{eQXV3l1n7WSAsF4+HYihPq6dBT+_R?hv>T~4JD)D4Ynkb)eG9A=B; z79~HL5 zWmljSgYWaV708^u9!4oLCPww3ZvV+>7%lvL#N_w(U@1(tKTkont1BpLBQz|_=xWT8 z0g0s=)<$kVcULDEjfLwG-+kBOn2@OzUdY{1jJwW0q4sjbX5K4?1MJ_Vr!#Pb* z%Rw!e@@TLc;p3&!bwrrB`UyMmnyQ2=U1JTNE|<nzOxzn zcvHH3TyO}q4}5Y{M3?0J3NAa;u%+_89)Ad2# zZVwf(8bO1sjVD8uGIq;lU~Ci_!#<%Qh>V-cTdWwh3~!v9_>*v~kR6A^f3@NN=k1tj24@crK8iU7SdEQ^B8aO_QcrUK^{B*u zJcU@Yd4|`81xh`xI;mR8aU@6nhSCRZy>*|^=vx0$s1^Nw@~@%ak6FB+aY5R>3h~*btkiZX zX|a1vNG+2wDlk%{SYq!5MJq;W%2^u5;D2V}(aZy#^j>dZZa)?U~8+fsAS1wFW$p%C!k8X=q{dT>3rYbX8n{ssjYId+eX%mkf! zdg&E|dKYap@5i6orr1Yk#J#=XG?t06V{5o@8~U17u4^4Bnvr)X!0qYbox4Qe?JKtI zfP%uOEqrQ*LwU4Pwo-vdf)dr!3IoXvE8v*e&lr0wVk5zAWpy`oUe;Grtz`KAo>Y-> z+v-y&yzr>>HA6D64zevgs-tsD;n~ieLpfl#A!%k-BLgq=9FZ*WP&+&_F@5NujU2kJ z4^3X>3|*&i4`?YeNXei4E82#(sGU$Y&6Ytein&< zmo^BH6I~liiZ-SM$}2$c+&OC?1}MaPlL2ukAi!n==Q1KG6IsJZ3z2kwo6!MYhpA0N z2d&1i$`IU3f^TLqH8-&kOHZtx}ECyXjL^N;+l^PUDLNy>5sjhAAB+fptPQyg_I6zD zi12zd?Q-W_ZzZ(Qct^Vc>unX3gx90qH`y}Fvlz6u>7uP7lg}gvd%?mf4`)vqC zF*1TXVzldZ7sFj*;7zoJ=oae@Az;23_k>bGwH{}eaHGEVW9bqRD5^+k~$7hXyRN$z&n3c>f73~9WfFyya5 z9~A@0o25JjUgV7aF(`es*6Hjf_N%do&3DH_(xD@N@n@Om*P!2^<{F|uU9}Cct7L({ zs*Wr?#$O?0#0*9AAOZbwD-J~a=fb58?v}?=#nS}M9yXX$>H-#;iJNPgU(A&eRN{C5 zD&|6|bOEwBcJ{lHptz@hJJ$s)3YN`Ho4Fr|9qO;1x!2NJT9AKVf`S~4f-u?upUY|I zTxu|q)fI^eXvt9FG*bgHNQlj|?PdyXTH{h}rVvQq2SSltEq5QQ+-=#STO$R&5pZrZ z{tW`n3XE@Eruon_ev5l)h?Ew{dmHm*gDQupu2)pE(gQV^Q=8_Fsbm!W9p=!~eTX0& zb>%jU^ep|1y_S}1>EFK?0FDI!L|{%XYv)C>(AEGfwC$IfM`JZZ-6@lDncWw2=q8TL z;8T*`z|Mr$DVDXf72nmw_i8!uRV57^fzbRkE-1;Y=93d!KM3iXe{wWKBHf&(A7r@C-a5*r& z5s2J`Q5^!*gQ}Ay!-~td;ok5o7r}_v0~`Q`yE4#sG1w)^QlnKD_BZ`V&B0a*l!P-2 z^Tx}xv&A0p^;CW;c@kPoM`BZ&HB%FX$y4B$BTP6TS;AzVqB)m<9tWWR-wKm^v>;U%p&%cZ z{cnVcHRv0NClO+p5OvTOVWNY6N5Z6AdtuT|g^A{CCrk{gH^%@~uA(x+#7rJi2$PJ< zT*9PAOMg27Y@G3bFHG)LeESpMje_t0T$mV?Ph1F;+i$Uo_+JnvrDOjm!X${jv{b_6 zj7yV*Ny?e&7T;d}jwffIUyw98<8^mf?8@})M_3T)^sKUeX0Kpd4o1=iS{-5Ji?;lE z`_5Jbke0 zK3FSiOif#{Ho-p^31S|X2TMw4jUaHyBs-aX6 z0Y_vyBWr-*XbNSff(n>Z0!;lzP&I|^`liqp!}V3s0@8&U$C{HSp3v?OJRe27eL4pju{CqF7$!kaCb%Xs zLAbCxhI%+v&j_ukTnEjDNgEx7v;{~TsytTs$zPf04R(FnTc%-2#rWlFaB9AHd(tVJ zI0}VY2$@Y<7A1E)g#zjxm&_uB-9rTfDz;urKZpd+orcmoj4@FMGU_HqS&T6{3lqQn zJj9>tj?W4U4pOpQUjsG17rXC)9V#}Bs-jM4VSVs6R0yRd48J_Farg}`A+OS~99^NL zJwW*1PlYJ7xTIh>d&JsB8+v%Y)Of@)yRrMx>0>)?)UPXop+ry(1nnn^t>}eVIJDt` zv}sT^MCKQRJZaql(u~khWf9)cx*5>Eb#sf$$eDrPh%`-}nf-iGk}y?WU2tz+~3HhVA%S5`%bZ@XN9gXi3h58DU_#_D~ zk!|(VL-HU+7E)}~6g5ooO$mXtf(3d0%_Vz)NRO{F!~})|pK9W3nYbQ_$!A-`W+KmK zB#8W~uMI5gq`Roy!UuixZJ*iDX#YBElD{)a8j~c3-_7voWFZ3@LoNrG<9g4h z5iI>{qjSBdIyg4HZW%10tb1hZsC2mX0~bUv`yWUW7`A2%a>9^qGBuAxrhWo@cT|<`<(!AqKLXsV{9$z3xu6Iu!3Ws;{I%F< z!XznJw!kxU6m}_LS&FL&!*Ug2I4yp>@uVxvH5TA!lafboBKb6(facWqA_OT4JFmXV zA4cd<{{r5zFwYZz0fWJGG#m;5`b9uf@G<)plAZ2_FKDK8F*YU;FjRZn)GdU{Rj-Qh z`0jWU5!hI>DeTJP#AHV2AnC10o+<*89g|qm$_YzLZsKoe{6@wbE}QVl3~xXy@ z;b0T`zG~!p_hd3U;3MCQBiC1r{0d6tuT-gg%317jn5n;u5@TeMIrK$_15vkDJ$ICF zz`onnl7HN5f}R`AFB%>RT{ng*0W$GBYu0O}lsU9X3hhWM(;AH5K(3myy64DkXla-U zQrQQ+C`W53GB`9tA;#%PvKX|?7STq`Ua9>wr`Shp8`VvF%aK2q*=&g}$n#&Ree5l%;JEnpt5+caja(7_RVw{szOjcCIhd}ZCf;LR~& z`6?5J%azSf2T%|X3KFWEA^hbp^}dpos^4-P)__9V=twK5M6KZD*>9PFIYG&K)nHkL zrF?ZI$^`~Y^ZMWcl9Chb_AK0A8(czS(`FtR7TSmU%Q3kSPoREuLFhzK224EIu9j^=Ks%P|OdoDPKND?+2okqojAVkw4eO@|$F4sE?65q4h5LPGKd8j1Bo=t>^~6atcPkmxvfRj!v&VECgdr`T z=>Ia2=sDOWjp>jbExHJZ+KYhjd@@NC#uYOU4vz*MtF5rz)EOJx^?u;a7Tf}oYU7HT z2X$1m1kG_(Sn4W4d0gu#k1LC)?7x6n>&E!tZf z_suKT;l!bWrE^Hj?-^BSvizl`>8W)y&D1cc&T<}T4sQ!C7ew)&MKFN6UYK!Cdznzlf)T1PE z+*ls=Wd3WAA3PC`oAB+YApBg0Cve=ve!|!jT(Pdw3&&G1JER0Z%B*cy31ElWCh|5$ ze#FRNnK0Xg-@x$KoJ=lsDVe=*GP7eFgxUYY)?K5dM~8!o0#Ko?`wwrWmxU($3c!Xx}ET0JCU}XBkNBKVP*2Pd)n3}tJh|* z54#|H%bcb~=ac?A*wgqbWWOgovW0AVWeAd)-KQ()dLqP2yGKWTFZ!Q`M>5}wSSWB= zOJmG3P}2=yx?WZ~9B0>48POf9(Oz8FRQok~Cno=Dynt<1Ln)BnjLXVk zFU2>tnQG!I?52RMkz#0s=3Y4rxu4YBC^B#Q7RUa|G5X81GMuFu?q-JR$N&ir5j~Ma z$@T1=tb`*q;Y21p$A)aAqKO>I$m1n4fpf>ezYG@6 znm0TWh`WYM*N1*|hS-UxgH$woq>7sM@N2fyz~aNKa*R^orx5s2E~F;>XomZ2Ql(bV z6|y)Ax4D;7YyI1-6_2%I17f-M9kn@9DxP*7((*?)CEhc>kz@|9Lp`H6pk3ogQ$rM6 zu3`peuh9O-Z~@i@_o!sJmhE1StTMsJ(M@PpL;dTg3;~y#*-nks;jsnahUYSAc5J3l zFLv@R9iNCCb$eL#L&P22G=rqjq(@zpDO<^@V^J(o~As1OKI2gvR~4G zH-4o>p^*u9GVc}0Yo!iR1x!M-s{Gp^Qh$~TD%sPw)V~c?R`A+(z-Gj@A^u-VGYGe| zi!6QOnC)!$#E!wRkYW`tnm09WgRri0OMmJvWl8DBNvnm*6j(+)4Y%mwkxwJ4MkPrl zbfcW}E|2EYjkZzvT%kN$H3S8@f2_!qIxOZzpSB1C0ep&B_k6&P)R{qEsrl|;zVRj> zW92@N?oHv*Plrnv5;?ooo>(iuMau#3yPRPC>#O)9`d_RVKZqLYe}S5|1)li4K*W0m zAj80A9Qc8+srtorIWz$hnA+>juis0(*RTgoR2sj|1C7frVMARAx-h||=gG;rq4ywf z`^t8Ha5=Q6x@33kqViUNNp3(76VOxu3ax_frA8#5oCbp5>JVk*zDj!m*wD3+z-A4ObfPortY=$&q~m71l<{+9W7o*c@II}0_q5m zd$#6o9faJk|7mw}e~u=aqTjU<(C#w{*hM*MJAj z7OOn}GxRMMjP^GcW;l34!FkAI z6`SsoFrU45Ac}p%Maf)`cOkptnedt#L~BB8VNFlY0Xv@6VIV#5(yx6xgZRsQD)AG9=jd=FAKHD>u9(pE)}46lJIh?8TbB;TjF z+qCK%ia?=>z9$|dfY)tv$;7C+2}W#~lkW9*n@en>O8 zYTB9kmrXf5KW|z_e*Y=m;`zbo@OA#4Gsb{KF$fa5M{5ApW_4!k^oY@hf*#2 ztcdq&UEawLUP^-#CMCnl-2B|03QlT6(Gh0Y?JE^W{tR`gUtL zP2to9u)W(DIEDh>-Ag7D^j!*C6qmT#ObMp`5}+k8wbQ#8rM(d?TeP9|KLL#OUYN|- z_6?_`n7kU|f3AcC^j89Mi8A6nK?&%i1V~^wrOUhtAb>3_HV4>&<5JwU3C21yKuWh2 zGzE--Zp8bEq8hBg`^#g#d?hiio2zucY3a^89;G|#g5=W0R$`<;!*AR}$+%Mch~Mrb z^wE_>)Ep(MP)mHS5*1LQBrx@X-l<Q(O}i zmjtGs4$X^g-_hWI0i4tU0D}RQ0cVQpmVe#b)3mk? zj6_@`ghoB3di-@2!zIUoynIx>?A&{wk~cU^#9|i%P+}S1{AxSA6SW&iFvH^%rTq*q zmn$n2OH`A7E!L;Up;#}TCz3GA$(fqVNRrbv$xc9zMe26q~CX0aBNMEy$g2PN%n!Vm)Q^!LKj%yKy{CmWl5NW6Ry(uw z??it@JM^gM1^IuNdXzl#ruD|6C9#Jtz(mdT(5K^AL@v0LDgaP=4<;QXuyl_tzN7t5 z$C`1d7127)Sl~=EK11>0F@^I8JlxZW>=~e`D00vjEM3Y8(eN*}?-1y;)Le9x@4I@w z)REXK{}YK!I^q*yQon};TB^0m51ZJ_NV6}P!Ada#=5DQ^Ccn!P!pmg-&oa8C-Jt z7GIXX{wm)e{EK89JRC)WbTUKEgWwvzc0J^DMtKRTZj0|C|02AN1W99-3k%7+*msaD zrWPk(1o?0pH~`3IkU2^5?0S&7|8v3PJpyD(MXmBbk!x359g1|Euae!GNI`}--6U+Z zYNM~KHeS%$s686BQF^x2m@_znzi6+hjUTl(&a-Rd3#T?d+(~T|mX|$&k$_7Urvlvd z(pwX7!Q~`qS3@_EuZ>%LW69UO_-0kuRF0ril#ylx{pJ{9>#j%8^FGrGLp5C_Fh`>5 z+!eNl6{ZAMfEhmsfhXoL;O$3^@o=U7#Yj178o6mWsf1N z(*u3MIj%bVKsH?oA#8|qXlI}yOLho7`*;zPy7=}aUfERWIOXNl@u4bQ!uym zawLF!)OJzAmD-5D6tM-b@R3sS^U22TJIc!*ODpLmKz{Je>`@8?SNSefK_Q_S`Z?0M zhJLqQls)#-zar+fUmOX3y>e#Cv43w(vVuwOv6EP1zlmMI*qdFkJ01ITV5Zmc*nei5 zHTF{v4t-D|#_Kw_QWH1CqQdK_#XDT7JDm8pjgSIh4ULY4eJhjX2ukVc8Z~OHLXMmL~HdtEEwf2`)r2$Qz#pGX{;YwbZ zDYc4;zAlxh>2?fz*ItCreZXKBY~b66|yK-O`5Or6cN1Mv(VBpsF``sRrALS(sP#3)?6566dyKt^vBTAAL{Uv6sv@Ft(H3f}@^3FM+nzDi?D%;zepz=}@KuEP4a5(}3k37ECgE!-zm!|H2Q6T$2DX|M*sRisRJE|FP_?jK zmjHjP1HT-lsWPQ02mGoO@U7B_B(>lVrdY*bul(t5!_Pt>Th-tdC40#1AQn_!A!V^g zBMUu)SCnQmH*Bjj8HQmhlMVn(+aAR@0Lk~19EA~SSTNcp-!pBn$ss6^Ba1l>6C|(( zQfLWr2|WcfUN(NtHuQp4u}Y(_rdD{OLH0JD0jSD?Pa01N){I-zN{+x32uHJsdw(Vf zG!$l$S8?5-G+*lNNA@Kn-foLC(qQTm@lK54B`t478O73nUf>5TPVpX-ch3sEeuCFf zRvf-%yzg2mLQtf7pi%8i`(>bvco!i`H$&Tu(0}g`2+c_LJ&Xu-LXwC{BJPj!$?r(P z^9MtUWDJAjs&+e*!OdeJ0~%4JMyAOf#B!pK9|(06abx=5m>N1gk^vI zFwXt;1;5a+ZO38eik0>~)U-L=Wo#N8Mm!tng9$*ZZJ@g)ykII)ZUg1WXm~I}!R#9d zwt&yCMZZr2t?=*pPvyR__EZTgxZohL_U{D{&=K#gU$JV%=HA2(W^6=bxBi2<>4of% zk`6}Jz|B2V?3}WaBR$zCptFS$5!ANgs^U^qZ#889nB)-=VD=F(1)!rGlf{72v+6)# zJU}sS`BIx2Y5NlIuza#CW+L7zg&Z`RhUh;IWE=91Vn_qPz+bMD?im46fG$1@SVk$9 zam2DXUrIgKU}1wU7c6+0#z40H3;<=@pP3QGQXU>K9mu03qw{^Lm1o`aq&50H(>pyX zRJI-P4wg)L{_SOZ;wy_^qqn2u^ubpr>kIEceqjix1TaGY41hp@tt-xTyJT!Jc8*ao zHHgZsqV&O-c&DgwqHJ9_`ZGMd1p3m3KM-66@4T(ng`_~f6( zS!;wJg&{tZQ*GDXspvwZYmygFP*W0znd{ zqJuXl71oOOxoak<{07IiFK3Y>1;h47bGdB@-Md}SE9ohG*`cmMH~`iWN~E~+i18~S z#>>t*ZRqt2W?}ugAXwiSUfN(`b(O;TX+OX@8E`NXucGxu>JXHS8cy|C&g&JmkEkz5fts6$`32a(q=VN< zfCDDZeb$mnq7#be$fBbcK%`!D9QQWbQ**G*D?c;$!z0R)nWdQHsVQ}$q&1W)(S;VI zU_Dkds;zYVs$(HMN#+T9s?jCpd+T(vArSnkB@kND1U0B7l;u7u7mB?c6x|@4x5vG8 znPeHNy#HX-RA@y*Cw4PQ7CI$85IF^;VD3kgXU+jdbeAfZpWGK*ZXLu5hE^?8ekY~p zy$v7ghX%&K7Z?wtE|-U-TvG2GX3Be;bY;x!9o*)b)s+Y{@>ap2paEV);CBPKq|&6q z>I`9ZmIBMc1H_Qtwv2WJW)j9ZfMK~WBoDTD!4>Ez>u2VS7{5loGE(_)!p0i>HW{+cBw2qs$#7t1 z5=iO6BNqgh1JE0RV|bC(iEv~vx-JZ%yBaTxmWjOV3q!YU0T1oPu^SfcvL9rgU6E5k z8^lXXHZUCpOj3n~=>kT9;d1E48R^*h+iR&w{7)wSd7yM_2N}83xGKF9rz@tFOjqDR zre7`T(MrS~ye^!kH%fa-4`zDbOKDdH+xnI66+QMuHbU?0jTvdNdnkKtXtyY|frK_g zp)JDc_bx_&+?m5M!5ctE3E!$ z@OYNuIEOg?3LG*}0&L|0Y+suGV zZ(g!!!;CXD+Hwi53%8xwb-vk%qDQ=EKM58`vTtdlu;D~ypa!(y(s>OyQ3K{^3X$dz zHz*(qpQyOFYhP5}?3EmBUDGttV!C|K&f5o-7|H&XkEA{Me$cu?@ADL*p!fNlkCb{J zFVb-~m?>zSnbYir)(`;x(JTX<;?2Fmzr9b8!d_9au;{)wv}(Y6ID>J`kIOrCJ2tTV+uY^f zrVrS1?Yc|)a>Ihlax7P_S*NjI=Pv&_eR*4NNETJ}bIODXY+19sHj z?T@+I7bm8`wZVrRhY7AV>e-5fn8;;}%s^z)Ayi!SpfmQ$deqtp09zEs8k%Pa*22p$ z2Ow_UM0gk<885+B*-Q9ajzHg?EAR_eUAn-! z^mgv3Oo}_1;yt8D;#VM~?tq;8C4_N5KPt^V5HTLA-s{jqRpJTRT{ZIf1eD-!0^FQU zYSa>Z*8}vO1o{wPCh`3P5!p$A))EqwB}|l{zqdOr+e<_`@wr60?2EETaTR|)kf7C! z<|9d-KaZOaR8FU;?S+8p?v32Pig;H&VpWu{;^WRVPw@T=L7eB`Ad`TBTTy5<^nrp8 z^7$7L4>)aP4fjKV6_Bp8Az?6}wFELP?GA={`w7E}B)&h!nP%xi|@#4HLY~1Uxf~yDIG+N-LMPJwxtimD=-K35a@$-AgH$=<}jGtZh0vhEk zU&SN%2>M^3-LahM`x@UM?J$xy@mLCp7bs((35MsCEbcx{L1`X;*y0OHL;G)JY3+tA zgOJ4nWx|^o-kaeO?+ubKGYP0~DI_ZG;*-l2`f10y*tsAyx*wJw*jkT(EbQI9&qvC= zo3}VGh=GKgems&?U{73pa5UslD^sub(yuWq)t0Qp~-|fOisPh zX?dT|IuSHrBJo;L->9tT+}cl1<5D3766A&`oa*O&Ug8bD=83P7oiEp23$hm&+Ez7k zGq^sjD=ICLUCu{RB{lyIlVwU_drwbiw>Yn4P8}K7O%HcLXNevKu_228wEUCMkxX1A zEX$AhfI18iY4M}$()l%TMgP=Yz-rc=oaqB!` z&D-E_LlUUp)v9-pblrk`GeBj_k-~>4Yz1J7Y$?xjn8Kva*VNZC^*>B%lqpwrD<*a% zW9PYJZ7bn6nl=k(R3t45k3kMlE8`6f{n6TO2(`QDO@{EU*W@jdIP_ycb< z`Owv1d%NLg#0El322*5(7Wc;^)Kn!-7Tb;c{%X6`x88^Cdus>I(ZXRm3UMJGKEdDm^s+2;ZCmeBTe&wK=e^J)9qh_$sCVXl!46D1O+;fbOcw)5$r91+neK zEfng`ujARQM5+3uGcX?^RGGbD>D@{rwn!6Non-2)D@NVB;iU}H!6kgKPnzr4 z?&<`BKR6T_t@E)KdrX3xn4m5xfwHIV2f=5i3<4j-mSfN}m1=vnGD)O`sX5B4IHPD4 zRB4TOStU&&t-5>(n?zbkE-bw`GfMMSh=D{{45eYIMP=D7(vD=-<$EzFv@v??X(*~} zG4AGWU?ht+8P>LT4n6=SA5icZsE^}pnC8Bt4!13>t@u_>%Pp8~_yDjd_6PC!6b}-J zVrOZS&tc)Dh7wMAxwPeO;|plOvM_zIq37TOU~eZ_oqj4KZg%jbDV|IRkA#>*Y8~Tg zahD>hr8rbeaezL0I!Ka~hM>iLqOM~kO>QL3ie$+t!m1bXFsw?_9Rj-hlwrrv)7-4e zR90OIu;uC*wK)o=u8 z(~}LC2H9#cO;19?^P9O7(=k66xofLVYs&|)Xn~pf@Hj~739CV_CpJhVBs^cPDDD_Z ztsBWgMe-yXUp#&X@P>Z7bW1Yi?;&*L5xBT{hob~N7k2kvI?8JNRKwTOC|e*Im6bS02t z0Lky-n=>{$dE&k1*L0b9V;vIlF2je;yxlc7`(&G`leE~=onlJ}mXeHUUu>&|jB_(} zf-?1JfJGxeOdkh2NX99W2@Vno!HLS$NG#qKyOE4hB(KA;14x$QVcJEpLLcKmmk_MU zQ$x3kFv(qW)3xTVCRjJCE^zP^DxQFYM?x@kr^aKIqQAQogS8YpV1a~EwCUq}JWOHw zE0Vzu5($B}BsCJNFu86deH6)k3jJ;edagq6<3N`X99K>a-73O3H>)NntMUOhv5}kG zC9m0r_PJ=k-E8D%qX8#yeA7^y8Cgnhjzg}5;COdxaxG?LxtWop%!mLiIDVTxW;saG z6iKFoL_#p~r$%D&B+ZQ^Q<3yh=!ZDaTdB)}M|V7o1eOpSqGv?M{j1u|@fzj$>LE$2 zdYJ(_ShXmgsDnpB!t)uD0*_USR$S(4&y(8l0Uliruz37QALlzrniL7wgQhSNf)Oz_ z601I%+(?=g$**~W2&8jM8)k6SlHO^k;zj!c#c}}J0 zn?Is;?!B+8b;7#LOpfPs{!m2|OrxX30#KZmS)W)77H7ro-#e)u1~`RSq=l$+3LzmF zaZ?w{d&~I>{S1a@y z2fBn{oJ|egD#9XnJ=AGE>`Sl~d%5<=aPX8Xo+<~AgkZ!?jmIiQwYwBGT8ife39A1wi_Y@T+|WMe=1k{BNWc*B!orFx7*wS-{6Oii`LlSyu# zOjn+q1hA;qKj@>6gQQTA1RNw1g3&TH5{otC+(>XKBL&jhfr4Z;9;OKW75ZQYx`beu zOby*CLX(^E&C2*G1nX|2mpgcJ6;B@rkAz^rOpV7XMX|dSW3&|Av=r(3`1M4)Fjg7GaibgKyUZdNras{#P)604oj zM*pd8wB&oV(SPmbYNMTPo_5qmNA*ZzeC!09Cs5GHxQT}~ZZZVpCLbM-oBiE98LT|H z3t+*Mus$jrBrS>r{wXXZ5`sZ9H4=+8xo#wV6iGjYexw7vNulF}iv?XmFj}UDZdGfm zoAGVR_;-#^V%1Ux=+IxUcwoO{;gJxGo2l_wrO0xZB1cPcHNb*Z z2*$P4NUXx7xshZll1>W!mjQMWY8CoI2fBn{KuZnXDng5!RZ(Tt0)Tb3(R8%Y#o9)j zzC|0|*XL@Z*dN;Y&>wpahCp^A4q$$V>T0FB#-Un5Fi@tZ+TuxTo2%cp;R9g5?Jtb~ zN*^tFnA$B@BvlR)3Bf3t8i~ysH~UZ~Im4s;2@fR-A%RfIIR?le<%r)dD|YNKAX(U-K19=jQBbV_$u z8}-^e?MRMxS}DMU9rCx0WJDAA31SaHqYDYj{}W8bdIy@zL?PR_;bprAS^m zR#^2B_2$0oK( z^lEI>+KDI}em%yf8ov0+6Wr}%@kBx}P^PBZs^Cd(o=jJsJPxpc{*XRu93=IMq|rel zAs8i7Be7UB&W&V(A{nmGhd9s|DfBuAx`beOOby*CLalpvT&Tn2=SL^8Y8?Y~tglr( z3mrTXf`KwM9;+0^?oy1=QrrTtU{#4eu6K}BE0P)qiG*NWOO3=TOraY|K#}xP=spK} zxk9gUpi2k_wA9e8BDA7VrEPT6muREMbaA!OE_UAZu&Tcz8SEgD5R7!GkywREb0f)AB;yqNMGo{_ zh2F=3E+H7=QbV_jQ0Qh=Kv~rZU|o_m6RmcMw%X%1q1BeAyIL)d-|Sqnwr{mC?nyF& z?iy{Q85Qj>TxL{awQ1Sg!Um{V4!y%HNe{eUe5A$N-8zqM3XIbV)@jr_kYQkD5tjYc zDdB7D5sGK`54_geC}>q?P&`90_SZu;(B0hP9$9Szt#QDNae(G$Og+~`zzhMq_qQ(- zS0H;WeO>Er@@J^KmpifUcxW4j7Gj2DV$Wyn{jOMS&cjd!S=4p(l$ zW@UH01njg{{!Cal%dSiK8f)hU{DQjil1fX4Qpu4IS(>asQHk+zmahOGSp(no+ zwuax<8fa|+j|X|Q252t=TiLGI9v&TgfNa)k$<%6T(kx4uCEa8}rJ=hSlWLRT0Veny z6G1yW62Ph}e!d+b)x1vOUC-n%BqetzC}M)U6BERNRhmp@VpB-;_Y>SafhW>Kr7Mxz z9LTOa)NT#G=TLfLTQ{(-BP<*8*o3cQ2hZ;KcCZe=Lwl}{Da6dw;7Lz_{No{7g57Rs z;t$?}YdO3ypr0f#DS~MjA8D<;{|T6W@DAQ5LeAL@CjAKx3)uI+_jy`jJK!GCS}n_L{&s;Ea0b@g`Pz(y0_{y5XJT<{nty&irW7K?9rVb~bN zfD>)Tk6f(gebo*67X|(8M*uw#py@pi=gdv;`wTu9!K@$fW%j|So?yN40~- zhH-rb>;@97mFa##u35y<8XSEP_X{l>F`2%IMaXMUz{&Y7K(Eh3Hva?2F?U=m`? z`u`~88F{}$K|M`SKm07@53$LAT?w8<645SDM36sS$(KOP`gfoF*h0bO{qROtR1|p0{C(o32lZ#d(*^s2+b02YZ_JZ=4beB zyG*P1PB-j*6!r)219q;$zOiH2e-e4)@boF1Pomp~r9BnQT?F&NPi~%?nCXmJW@2#W zrXhO8>rzsWo0@C|a~2cd#l#Wsr`IVbQj`=%2atXaH3wSM^inYC1anpbH74fk_YgC{ zqNXq%6UMS1rAT{r3?o-y zM4M1+?N(@4e<&+7j>9_}rxzkV(Sp9+h%Khj_MrXGYhknwQ+GSy5e7IWy{~mO=po9JEB+0h{QW<|lPwb&kDfkoC29>ag)Q=T z$rKE~gGIhJMBmq@^|-(^vO8aKwyp=xL5lM>6Q81K<)UyHSOd}d4USByF1)WZ2bh2kF!Dh9k00%fbB`rHEB_##w(`JN{z;n7;?SQ+ zz?r^^p&}Ae)^9G#5*;-;v5W}^C7yZ{#kxWubiks6S0`o%i{41hqOpV}M`cXOUp~sP z=nAd4LGOY^Gk*Y!2K(+=QL(Oc2E@8B1nhbDGrCZv`x1{Qa7YuRx%#geWAWi%>k{|~ z8o)f^qwn5Se4PJ?@Nqv&(I-TSv%?4!{ld{MbirgqZcqW44^u(u~m1L$dT#Vb;I+2*v8j8*;}1LE*=yMwcY zyRLSTJ6p-!uomPVrsPi6c;C{|Y|elZb^eQ)YU8d;k{c@99QJR9XDQrimkVNoW!pV7kH(=koV-75?Uil3<1XqE z|6~s+O^-JL`8TbY2l%(gn~*-ucgIV}DS2)tKaO;lEg$u3gu`*xk;haoA?w^u(t{*iPS%?5Tfq@!XK3S*f2EZ6rLi+&}TNUxl8gD zQA?IavWKCS<4_{(-FO{576exXd^cdxgH!ygqC?mmsTct27_Ni zFtQyj*>Z3PZ@Wd5rx^;nXh$&((VM>JvTj4_1Uyd0p7FX;(v>KSfs(78W7CsYJ9E)~ ztkq6A-et9u8ZbwpNF1V0W<-q&{;9VB{`+sZSmcpTB&mRkJGK&IeaBGFu%WD0C|3~5 z-`!9a5XwxQF+?wSwfi-i<9Oz{89BhoMiZZ+-Cr*4zGF(3fRkFDw-oM&YXJ8Uz?GS4 zl64Ii$FLnsjOs2({hVU->ex2GR+L6vEnkO zb;Xqid&kZ}dU3Don{ygo;)#f#9G@JCc(d%;J~B=+@s2a3Z?Q| zK#{Ge*hIop@>KROSYWgOZHj3w0loW`5(-Ram&?T7z}UsESZG*89`J4jjBm!hQeqjl zDdgjs_hu(=CR`y=wLR zjOM`Vkx{8&(JDax>C5(&z;o}W5_mvAxe_up`%TPVXR;?$f=N)!1otE*2p8sPCBz^) zlZr8u;~`*ae&s^Pp_diPTnN7#+3P%^q|Nv4L6SscN`1tNRLA`dmXdy z_*}CmG+dM59wzuCF#)#G`p^s0XQ)SQwx6&@m8mK_Ce)f{dz9iSCYXC1Fs9j>*y9;{ zvn$qWwzlk8FKs5F1br0pk1LS3z{#u4cD>VVO}e+4E<0s9Ef4%+NTbb``NoD4+&GK* zM!4E;uj4vsui{Uxoifh5<3iVnJxGZiK_aR@1G^*MjV3P5vLrX4m%!u+*%z*Gh}KHb35!53K7>8rRK4JBF{fX9j?*bo1Su;o4; z6P2i2{NhC_&h`a4qTI?s z@<6y!9!OGmCzV^iid!Ujz65JIjT@6Ev8vEpXCkhmjxc2>mTL_bc7$lJlvvIaEG505 zLQ@QWh*?pgoeA*KOA!rj{d~T~EqKwGs12}a8QR$08>R7oDDhOEWui-WOcP=1bPru)j5gI}va@!u074 z{>{t=Bt6{BC{tz(TMA~}_K7fKpb$X^dY_LXIsKusYOh9UHJZ(Hwu)%ogLI**=(&-sGkIqPtP;X?E3??eUrqS3^g}N zER%P+N#X^(nwun^lu&qPU)~}fzU2;yxd=?WL*g!_c?u)s4vA|_5?N>C_%rIYAc8g< zU9sU8`wj`A4R>Zlzmf#r6Wy6k)l6fUDS}KWM9FO=*L^#}5XRo9u|J+ms#w?}Ne8cD zBsy{;jsT8q3xsi2^<0d08G+CRnSt=|EPTQx+Ub}jU65mIb0c1#WX4%`_nipq*cZDf zo^LCaX{6{gyeHg=aFY-LCNxAJ{1@xddnrR20M7BRkI*LtNC8@a>rRC0h~?prq^wm2 z3-3gjAz1J-g@G*L-T-Q@B-j^cuibYdoFf?>&%VQ~Jf9THod{pyESuFjsk@dt5%!l% z)}095`DNdU@LPrX0^TirCjox}Fhjs!39y~s^#A7O0I?+4{mTQ+0@Km(4yEuI@!>DY zmj|4NaLkd--s_ZlB5eMG)?gL*&zue;hm3vSxQX}`GI zhrNX5H!O_zq?>zs|5x1WuWbh7cHv(Czvo1Mj8@rEucFG%24?X}udeBL;Y8ndWS{6N z-vE5?UjX>)`#LSGhevx{UkHEte3|+Gg{yo+)-aNF7062IeP7zE<0?NgT}GbW@s|I= z%MfB%V29zZkq+D2`NY3S`Mm5E;Q#VH=^7pQ#9zu;61&FvCwuVpo?JwE?H$Aiop1G) z8Jzb)KnmC%M4#8MF(f#pVOf*0-K(A`hNu5^fAp7X`8K|c@@1fWzsn!}f79#yXcSOZ zT*c87=|wm{B~&N0N)n|KJwY1V6*5k;l$d0W|~f_u}2IxHqpy#f80< z41*?0D)Tn@&qLTv48tYs=;7FYze&O_A)Ls-Bc%!(qQ_h(p=Y=uKB2i!U>E=j#CH(} zwTCr=asGli0`#k`Xo2|06htS`H~vLtcG#W9B(yjOk5(vD@>W>t6wA4ybr-F|L1G)# z8S{AgtJ;wpKl_*x06v@}(|J7_*XU-zg^$vr@lknk{Fo+v&NxB>Qn@vCUu=_?S^vDm!z8RyN(4 zx-F(*)><#jhY~4NS`Py1RgHc3A*d2jFYlHvCg~wBPfY-aDsj?2D zh#`(qR#mEOut;vnbz@t{FV%$o4n2IzoBT{^$`!uRGEH{i+Y5e_wHhro)!lj){e{v| zRM}>1S1jFKZUX$h6TZ?NY&#vVlN|ifX2w$Osd134&-(|K9iZ2gW&yv1Tf2Ytj=^P> zjH&}0S08@Z&`-B`heBBXLjMHexb`mOH`e%U#zF_Ch%H=_Or*AR=}%c%kBZ_R4yoaFk;S zJu$d>lI$j_P8&tdI@qcc|L(Nl)TcqrUd+magrnx`#e}bg{F1J|n_elC)UF2TWeGq> z*+6$CKdkmM$=!ZrnqqSz!+>T3xNbGuLlY{2c%eg$^kh56hqylVKzY9g?7z1DAdkGD z?F7r#qftB!)AUC^VMU-e{`bQtg|>tC{%ww>nOY7D=Dk z0UBtP13@`WMt=GX9R&9^_ogOt`DeLusZwL0ZJD*K^(acg*ve!gu7NC>X%W9tW8!(A(eyEXu5v~Z{Obn4e8&L zdffix#b_D-(i#2xqhzg8GRx;Q?yoyVW+>3hyu~6%3JMpYCazw?n(*$4NE84!=7qhT z_zoido5HwF-5(A6H--E#ae_i>vu_S<81c>vI>O#}hFdV-R+yWg1I&FC<|~NAx;N~7 zo-c*zd80Q>_VNaFoT$>&OPTtMH-&<^Oq7NS2zzhl>*x)EzVL#cj*;Bo3OCZNX(a=| zi&klUyp~gfC<;*n4bjzvVig+vX0CW+*uMcpQ<`k>#EL|+dJh0}E+zW`laKGgC^Q@m z(JF!9Z7bxWlnnB|0rCPN?-qo#U#shFI7Oj%i=ekgyULd3BO9RQK? zQk*HzQIEc`4tw5d$M_eDeui$DQ7;Rh1y|l#P3y3y!>4wKfA{cN-C^SGS)R9c#(|aV zW_Agmnwhs8R!^ApF~c-wcn}%#)*{7zbUHtNMW8QCtH3zk4``$lB5})?D>5<(R}9L; zF6>{(YjadzU#ehp3HA(tHJ9(?1je@w7lKFhZ7T{jj0kPltBMh?pttc3F}*r0ax*|L zBO?iSufX_5D{Es>Xt}x2F_8BT48RS#=br((<~Ic0taP~qjm+VNXQJ_sLgSCtft!%2 z`$x0DX%R8Q{KqqGJHn%cLrtMmyH}%0G`jomM8u=_y4Cp!ryzMksSh`aLrdDAmT}7n zxg^A&g{9Rbdh3f+*5YB$gctK3_lUT`wZk6PutImb>=5$e3Oj_6A;`k$KsZ8l#wJ+K zy&R2=Sa{@QtnS`R>VkWo24|KwV%wh{IZ-)~-7Z)7r+{&mMb=4p7mKWh%ASD2eUXOB zOuQi%-ss;DFKMw^_|_yi`qM566UQ#LlW5*VD0?soH~ka}bg=E9z=$C&Mu+|#BjSQ6 z_Jialxv6MjZ;Ul1Brz<~UBJsL%r4*_z=X~)@$X>PUm7S=DvJSAr!OXQBqK|lNbCWI zggwd{mLh(&Lv8}1Z9#)*PetS*tUeAbMPwp3J%z|_PGk}yY}cltor>Q^%APL2{0jSR zU*+8hcLg829l>-?ypN*1gHRW*LPPLQyEL&8TmovWMsP0PO(W=Tsy=>tLnYs(ghTWl znzgj}ar#cq#}CzaR!Y2=zT-75zK8SPP?-xT3^knrHzTnX%N7*I=4GcKDthq}Zb5ZH z%JL=rO)J?GtmGTYI%|vFldz3di$FW`8O+>!XPHmY%sOMPYyxJ;=>cF1x@fa}Nf*V& zk;H`~5{Nx5ijwHFf5%N^mGtfzTq0gSOJOxUzXji>G=`E@%gB%D{8x8Uj5;jbk&Bc- zz(ODS_a{MxN`s=%mLlF8V$cq1hqi}H9K_F7VtUU6pGj43;Kq&{sjP?D@Jw&8jV7++ zv<=+85N+W3m1y5Jt17&`fu2|mLnvbKRVYO9N~VKJl(w^?&}T)Vm`&6pPmvebAwyVx zgKe{B`7Ggs6F+yOsRTkT*cdVK_50&Uoh0XPsPb_9)`8Tt;1a&3`9??(b`Wqc&rag% zSxsN=A;WwKYY0LTmrFY{ex>QCPXu7y2E-<2#zu%1n19Owc&=; zqC$aW5y+rqAWr=$kU)5ewleQsCuO9K2(36REHX13$wb|S=Ti!YWpKuVBs092L-GX^ zJv$cn!HYk%d_<@X;w1Ebbll}o{RLO%hAMI62=oIRVz)^vWtUaK(50XpArK97mB1Sp zfWW`jvy>1G!yp9E_r2W`$OuH1P&)i>YS!TdphJymZfGBm?a8iZo%LOgiYX_xW{N}G ze&_^OMfY5QIhqtCR9T}5Bpo0e^|_pi2_((hUU-x{fmb%Wj4*7SBPMKFC~|1YcHzw8 zR(Z^i;^CgX$qG+Q7r-V8EpH9T=%@`P%n-b8aIY36sAE6; zE*k}xHTpzb{^&`Iamr#RJUw;7%}5BAi}Y7C7K~**N$tCfIf9WGLy}xp!*4MDN0|)KRgWa;l`C zA*u9myOiTjNXd@17qOILO`{hL1|E@7jn$qss;zmHNPD7y!6p2iHERGm8$YF2+dam( z^eA{3J?4rfrpH{0bZI>9w2}Sh-Q$%Qh#G{4E7{hByJ*fAj|W9?d&YKWRj+XEcwv?I z9?ct_f+KERk0j_5KCG3!2ex1;w5(P8?9H{z99l8~=x~7%vASDx8_kUT<#ziWbUard z{(3v$NCvoW{*Lf|AvpzaH;TQ1A^OS?Hi~HgU%rIDY8S*eG>T87XcS|(8j3)v4SbT< zEK;yYzAG_rx^-}|9iSQl@(Cc-KOWYK#?RY1zGtV16fA!Tyywkqu7iv9oD83NKid=! zE|LXQ1A!~D(tGf-&Um#>#*3y4=?}lwKDa3Pb1_H6UPyI}`hUX#!hPgB5P*Y=dfiZa z=H!w&f6GfOU;=YieuXmWxyMw@x%MxKdBL0>pgskcZy_K(AfU{-fVWUNWdfLXUe844(4}7(BS7SN`B>f6nh;(kH+Fw8{BlJAhN{u9Sbmj9Cf}{4n+JfsC$J;F6`HCXT@;$wcNqI)ofGSO zaWo*MLze-Cl_;$mqAxrEZ6nDXC1hf3faVWoSgWGLC`_!Kw+n|~F#LRKE~-)dP}y8J z%fukjdjRuWcKW9)cSe$e5>OB}$*scEOH1>VrS z5IVR9|2)k;Z>WDEigKP7#lxcXK~dbr#S$3j64|ESk3N9oFIxX5$2<2S$B!?{F&uQ> z`PjPw3LJFoaR$ojd$BtW1)8e5cS7J$|I;+Ko9BtoVIbPWsmDsQ4f`L^CVM;*P6kgXT-i4WMKaimNN>#tQ(xag$jAnbiMe>JMK?OdSXpXAQ?$ z2RJa+h{#twD%<|feVmJTwH5EX$vbJ*Nh zrV`HF;v)@k<`%0Np!Z7y90Y{-g}&f0Oi(Hggj9~MIY11R3sD0$)VAXYwX{5-3W>yt z#IV~rRt`e?@+JIDFPW$>I^Z%0g&oxbw!cb*n2B(VJMM0dlg32(gE2BR4n|rG^YTEF z?C~-ksKjU_L6TD@XY;X8j7?-}_q6F5Q&xh12h$sZ=Rgzvb4u_pUM}Ein{2?>n;G*- z03Uq~(U{yEOaM0?Z&?f{jCddZ)ivVfLgd~%fFd`ITU%l8#eLXvh2HMy;fVgTiN-$c z(CAt3Q|@9!aO{U42d)JB;g94%quLLL5E)ea;VjA8ijm2(AHLDCAO7Yjr9n1(#V={3 zp!Z+VCQtu|3=9A1ukn%W*CgfMVO#_|{*A!$PhoArB_1VSPNOtxV>W!gkl`{LuCYch z6tk32unU7pgZCP~gZrybM0p#$7vPhBE_>iP`@$ahbhwtbEnHv^{3n+^@JYyH*#kqb z8C`WZJ45!q0{MS$4@_Gcj?d=eIrGke1QjRYIj;9yzH9%3rReOk?Tz?`fenL=Q$}|z z?ObR2;3c5n8+a=?39FWANr@BoD=_FULPBgcVZ*W%LjwjXo}WB^G6G?l2tlO#GDV@5 zqR`hVygtj}r6j`>h4Jl8QX>8M)cvuZA8Pl=eNz^RWv_?8ZR`jIE=rU!*s?m|NNkfE zbVHx&K>s~9Eva_CmG&bPdb4mQ$AP{(_5i{j&@Q8&;slEUl9EAEeH_@Qk2 z-x{|l^o!ll&vBraqY^kEu@cHr2~|6(g#M)6Du_reG0#Gq`RztikSwj2Jlm3lhY}G6 zA_`d7zRIpvKyjv|EzHbY;j7%N6f|?FVlXDXIQ5ALV~N6u920?)h=|}?l7JDBYa((b z;$bJEkBR6b5iBNigp2z#JY3ix_G(iZ0vLl$(!oetdYK6?FS)@~dUR2l<3UDCx5@c@$+2}3n(ic|IUq+X80TbU(C@^{v;_|1^_ zj9IyOm#(;#U2$)GdE?!I&z^UfIlVI*$+AU2Ltzfz+oi~KrD&Jhz|M7Yij`Cc*1Q;G znrVZyf>tD3V9^oi3$5JufyhNL^`NI))B_@6f8vS^E{FX|<8Yb$=dFl7@;GLbnCFH* z0dD9$)*AI*L-dL;W^AxFqG2@+t&B!Uy-`IcYCgDV+DwgstjQ)lAr6$xDVk-pNQlCz zqBBPnD`;2(8N=jO$5IPL5p$|t(TjAg!X$2FtcrdJ9ai*CqOdhqxo&2)07De%qnONx zM@NNOyWmLJ`_O?nVr0$e8~j_zNK6KC+HU6lLH||{CdiFAIJ7hu;hZI(us>E6V4wFN z2!%0N3sD&bX&BdHI<#SQ`Cpdad$!^L6JX?~O4y?kaquiu*n1?>@${37f`f2*tf9h^ z{%ltm>()Sf*WEYg2}~uZpNsUYWNER+=`~jsKXR*VSA3}EC*mC$=8goH{@z#gwFV5X z20b;#S`T)CA-F+X70p1!#4g}0CE`6y)7IiOtgj1^trpp!JW}tvRL_*e4}ox{_HIBMS~OJ&P7_hsGh%h{|n)OHJfp@DNpu z98fw1+b;3VnFBKl*f=`XJPqujeov}-B6($e<(>&L0wJ~ChJ)?onro?(Ks4cyZY+v5BQm|OcSJlVRM)u?pF{^3gS`)(X1dO5ZBJ60D%)FJ7SkBi17-dMM3mb5N4}M0?o!# z5*4_N1npQs9Hk&y6~tQoYEvpC5ElZapaNDUJ7ODX_!05OtE3LnII2gy4=RXEeuXO~ z5EmEmD~XEP1OdN@1o6Cr$WjodSUCzp0?nRc5{Q!t;sOORT|wk3i26A~Qy&E(f#Lb` zl?37!>^j8uQ4oFwA+rgz3;ohXka)(l6gf#C>IgzTZh59Zl3uJJ&QTD$rWF66phCWqs5qV=RCn&JQ4r%4grRAIQXzqfPOdE~zJnSj z)rjq&VKyVj@6l|O<8vzoaffoesSVkT9G@iF zD95joTph@9Yzx_Pd<3#UjvtKlspa@2Caw~pe?(tN+;2D^2~GwrMxTnrBF8f%?yYrgy|{!3kc~cH%k8YUe&F7 zKa1H+Pl-v1rKe;mgbsU3Pi8YcWwB;sPx(||JM1YR{|DJjPq|govZt&L?!2cw&TOWq zoGaPbQ|3vo4tmNIW-&9K!;u9&|Qtrl-6pDSN~vWvZU?I#Ze%&q07MJ*5U+H@To2*MNp-cL`X9$co-&*G|EOraC>L^$!#mR5QU z(4EQV6v^I3)`xpMCE2Kem&qFzSMNk`?SVzYFu;r;a_OO*reYe`hERmv%nUN27hHSp zDWT)tDc?rQcKE~IVTn1fJHkmNJV9ZiATyeVZLmQi_zNBZkx|cmvgwU=5m)9X;Kz5T z2k}^#`zrnc>iBZ!ck+@xt1DLNzSyVoe&KD3f9%sx^8tQiM;<1fWhophnNI z2pX3!=yP+{+YgH5Qatjg?A5dkA|!<|!Q@`8V$9bUaSv3S(tq8EoU# z-RCP-KVdEaObo$Mp4q8~`wk@L`67I0;+rQWaHkA!Ay7$Cki|?lGLtboaN87^6TK5) zh#}q?jix_X_Ugr^rucT}v#gx5SC1{(pTE94AJp&Ml6~-fxUcdKe6RAa+BEvaFc#rP5hlpP@%h`?5EwDlhgf7APs9NV;EbH z=HlNSjhj(}x$pK;I12$s-yXEz<{8-brh8GSwJ7v+$6EW5<(6vAU!}m#wSk>s1KX{w z9_(evKxS`1NfZ7s!_yF66uz=?csTuV0N=4GF>e{uY?%McjuDab4~LVYDVfmp ztP-UWQg`i%y<&eud09gm_6rHUM2^6c%yr0Q)g{cE1T$T^ufwER z%@lo^B61dL%-`fb$aL8aa&<_P*m6+C2a$a*Gql}J)1PUVCz|~%M3iOr^8nt>Y?2DX zhUj7_+~gXSeUX877g;nBTL@Ts4 zu?&gv&gmfsOIIv!>C|^ch=-hGo26-Jw|o!1>;EzLCg4#OOW1G%41~=IDjGy&M;1|B zP$G#2!|0$vQHgj_alr-Gi;{>7f#4*PaU4ajXxwuZH6mA05rdKt7MXykK~RFAL_|Gt zqJpACLG!(DRiBwNlR@wO|L1$2kB7`Tr@OkUy1Kf%y1TlYVReo$Zu5YKGI#}p4XM3K zs`f6BI!;KLrf^ujDSZR+Dj_-(0$mezc=8&!W^yB!0SFSHaV|=`bzZNG*3>j5^)U$ zs64Am~ZSEe{2A02l^S0!dQRuHE;-8)rVcm75%Hd@;X zcBSoc;S(SIB%A|{#7PZloOHFrx>Q|_YKu53FptQCbMB?(Me+w+5(e7GffkR4^${>c{%b=x zIE+=twp~Zy1IRpz?6e57|JD%hOV*L)T)enJSLa}qnQ&2GWLJ&7TN+vMY~j8uZ$i+BzMM9nr>4vK)*}_ z(0Bl?$xcb~hO%|VIFy~HI^Zd3I0g-mYJDHPaZM;fXqpmwoj6j3kZKn6{?fee_?dOQ zuZbhZrekIujk)r^bpVRh~mV-(u!Xlv@29!A@YKV2B08I?9l~{d}OaF8xvPvl-P=^@LT#Y8vLOv?p)w0%khr;}5J!=?^5P5HvmlE!?#uIXdz4+}EJ|pDX3wPJkPd^>` zbnU`x1Gc#FeLpgTtaqgZ;ucBVFuz3D4aXAJSZnX9p7cTPt=V&^C&pU4=ToC31|o?N zmjePKn+b}rs@@i2G780k(%d%Dn`c2rH~x5+WV%KW%4mSd_|W z^B|bxDAw_LefjHt@KF6e&t23`_54D2(H}_Fu#ZJ!)N!{K)4y&(G5u6Tb>uijOaeLp ztEIDp5ttksj}T6}e6pF@iB68~Q6%RQ$$tRrm{M7|(P*`S8B7>I@fik8_`wXnRTwb! z;kLMxyKV-=d4Mq8%@H2v!dQ+iyPFfIphe@1+reN?t6_>G-y(xx=95JFyDysWe%Q3p zbd0;017=l}+msG^GSC07*|)*KqZoTd-VQUk|7)Y8pzKAgg;dgJg9lre84&s;rR_l5ey7#r&UONMiESSdxlS8 zxZN;bAD8mm8v$`9AdDh($^J`47<{cNLiA*9tvN9haxG>j2FBGiba;WiF|Ew$P#v@~ z8?S5G%1no)rA7VE&!v?a3zpH!oX1DPR_05jVAW$cc_(I+(aLm}G(%sxfoT%8GXIe@ zj#lPF&baA}-1c8%TbcgAX$`~B`#`M>*L>veL!2A6OYS6WS8+N76&_$NC_DkJ35E|h zfE{aXA%!p363&PH#>PM5d3FWNgmUMQMK=hig0VW7BGGa2qgldDIkWuCVl!eecQUjg zmC2yuvxa93(%Uh;7>kQr9=ciHj)@A|CW-pyxE-?}EI%D;mpg~r*H5&E+WCitO>6mJ zq**8yrRB!SkhT48+E=T+fvz28pQ5XiY*eLmo-u zE2!w{e()Y?rWWIzF8F?To*du#AvX6Iddq(PSB z&tO!}U<}U7`4dzE8kpEm?aED9hn)o~HZwkD=FV%tOx@kmAC<}c$0Z+`p3Fc@AjA?v z_zKD?6BB+q!=FJodckrt27h{8Y})RGxeG93f}=TD#=64q;MAX;((rQ|)*n~4X2LN+WtjoKu}`SPc}*CDe|+J^hGA+J~Zw{Jprw>&B3Dz)Q3Q`6-e2~EqSQ3QMA2m zw2x_uT~{H+c~P`bG!yeFV}>)v)FTsK#qb`I;xbj;Z`K=D4a8G~*msArifRkB<5(fe z#FN8K0`;BDypajknFQKNnV9n#vxqT<2@{^q@KQ-(n7Gnr!ay8Oh}&%@;4J_yX0wqq zh@LX}zY<{QIT5AVhz!Jsgcu5l5T=RnFMJv__jxp9P&=8d3%UwW-|)rRI;folnhmpY zgZB$+F}>5EYx=Hx6$cC!R-i2)0~}t(A%TeWMfh5z z{~B5gL#`07*^>CczGGdO2A3JTKGZZY%v^_SngcqHef}YYj!vw1qgby8qXLz&O+0ZM ztk>IMO2fQ^>4AwWT{OnhX}^gwam7y2F>w{UzOH_Q+GpZQuU%gFH_)XV&TM}8>*ENA zKpKBX2d-!{%K!PO^lkWmTiR&<4ouGv!sKNwhE>=vQmy+%5DDmVUB9^WWGd?aaBFA6 zt)~W=o5&)llViSq9|N{!j)ye#4RmsM@j6dL$Z%h~12L>FF{3 zFxr0&roC{=@_N_@NF`S3GGu8kOj4Y=FOyQOF+Um?)DDxR?Q2RrZ)@&xnQ1Gmfv!=O z{Fi|xYnsgxZ#tcxML^k#C1&~i3VAsps{o1fQUsMi@C&1?sh0OE!~DesG z_R;&LS}or@L2Jw3&xNe=`o02+r=+2uyWRN-E$fQH4pz;99$gzgrBnODs`CYLGP^ z2V@b{U&MUX@=J9^v^f+xV+gt;A;v;z*@WAarO0hv8Np(X(7G*#*40s3<5U~ixv&&K zOG1o6(~_252a=f1rj-&ytF6$|6V=fFpK<{XpQ64L9Y9liDGN@8R25Fu2x`625bytV zq7XE7zNn^BR!x11nnxXUmn>k0eU;P%j{G{vP$$zf0Zrfho4G_%CW|t-ZPY{!W|SXM za^xq|xtHMJRG&38W9;;Q$c#i}_o4TaXup?%cj@=mc%DoOpNik)@JaY>6+RZft-}NH z+lFgaPrAZK;9?eG&&1`3jy&!noaMqhBC*^2L03wNLrvW~)3GA1Rea+xNxnvaCju@}52X~0#; zT7opNU0bmL{Kc~0F6hcd=Z3Gp--MR}OxD{N!&*o5q^cB@Qcp&!p7a0%){GlzYg|?; z!_b0v%y`Q{>>Ce=iG(n|6?xB^nNkDr83ED(V2&&7$hB`0>0wWFo&#D{=PAL3gzo4h z*vTenAnqeX!wn=T{*y7|-rgP^_rej-%%c7veZ9_GKYuOfP8a$L7Dil9K4P@5U@r5F z0Y8QynS)56gal}(Vcd?%ovQ&Bvmx`(iH^yg-T1I4cMAR?a+fWOLD#dWOeh7}xfm#x zz{L9)i&kO`l;L0ov#(_4sSCl(zU!p`=1Kv~tTY8+Anqc>7J;}hB`|g&dY47I;kX_> zNrNSG$F8=@mf0Jbs%-uJoYVI!fZ_#35x!XRV&-{1xJbVKex7FmQCy`cY88dFt3lU& zib5OKzuFsl9Z~dD6mKaCX*PqdvlInePqgY1n0TJ2A5m;$bvA`PUFDmBT4_Bw#UbCT zml^pQh$9GbCm;gwAz2wC;Jw)E(lCcegRU&)OWOIMYXTCX+}YfNF9}RMd31j@P>fa- zhbf9-OoVwsR6U>=p(rFU@kGEFqTr?wB>F)MHcL@#IA2hB6@>&Qo(E`46r7F%iq(o@ zoTB&@l>uB_tSBTf@jSq)*JJOhx8^yW5jcwS=wex&W<`~2B50B2k77=XvM_3F=D!L1uuNIPR2T5v4a|3^i_ z-V!SU59d%8E4==V9B}L2`C~yA?F15rtgGIag6l6C@RT7hWn-CwBQty^*g}6lQ=8Sr zCsZL{K{ID{xK>&p`s0SIRhW@Qm#`Fti7vr|>YlhuI9-udB;^mZaXza!ClKe7Yiyj@ z34>J*Eb$LT?^K8ygx={lr_i8(63OC4lV-$1Vwd{{b&uGGwIfHGLaBr})k z)~iF_G);|xc#RMTX?B4q+Y52jQMgr#;X%g#Fj*R_I_V5HykRgHh?@zqULfeAnw@1> zvTRH%6qAQ=^PQOH*q97NUqakNh)}kdqTkfB1p$d3d zfrDMIm3kaocYb;|T6YH2h?2AKg_4&ZP{}8vbw*yG{zwzK7Z`*eq&4 zDd2hzZy0LG^+y|(-&>im_DY=X;wnNc>h?C8oWY^ropqqPJr%YUid9;#`D-AR*H8?! z&tjZ>>>VG_{-(&GFlYdw`7Nsv!l5RJ322 zad?gB7KHKAAHon+*Ha%}s*Kp@e4`e_7AGj9oA3lTAuA6_>>Zb;j^cbjt%+27*;Dza z(mj`S|8s??idMn7uNlq^#4&^j5F(g8j_8~35&%=TL)NX$5ecd1*8ypE;8I;M^RqF) znC_%`q)pR6R1o5DKtMft?9y$%rgrY)k6C{V`<)flg9QBHatHexUN!6+h?@zqULdlk zph3gZajiGlc^MWL-+}#<#PcSDt`%QE*^#%mKLaX%_LT5lHlZ#`XaLa^I|)s(2^oks zgqYld(1o#tyoS)ZHlZ$6hbo2DUZn4f^f6`ZY)_yU1r<=RYOsyS=MC+Ev6w;Db-6>MalP3xK9Z;JVM^r)ZSfu4~qlW%qs(Bc)iLa43vX` zvP9OxBnJci6>$L(Z@WzM1qRHy*(UaK##T9F!QKvzHu0PYHZi{m%i?mBB^uo@R6nt0 z@%57C=H*ktuXPuZ!Ou>oJGWamqhg~QtVT_*mYSZm&?w|kWpEpWYK>$n(-4~Q)eP?& zVQrW@9E@ZWQoV`O!TW~>x?VeP#nQpl#O zF|l_sc1tAo;#4VzPZyYSFi_(O^(LUO)L(6u`X7md8Ag}~0Yks|aU9P(U6j^fp*2#> z|FDy$D~rEiuy2hQCU}f=_7rV*ru-hchk)(QAXsfwz0*IX7G+Oq5br3H*-XXs3Ndwv zWXINM6B}e~CE_uzH<5%2JAn zeV?&|ov}c7E=H%41Dn&|$_=Mp#W|0u_WXnS7EYg~xsrg>jiRuW_0xm^YX8TEQ=Y{h zk;LOR{v5?WocJFR{Lb<#0y;;(k#2C#+N3zc*)TGevDv_WI><8d0ODmBI1o{_Za$Wx z$t5Mzv~xN2;}2ua`Lrt7B7HF^m9qS+)3fYxo7t0&e&Sx@TAOzTWRMTmV0*4RjqPfz z?Rh(yGM3K*jBo&*bA~j(Y>Dee$?E}I;!Q{$^6s6pTfx*hYm<4v3 z8l?kXGDT`2RuZB&Af(q%&N~c#7!N!Hj6SQauPNC*=V82uIRp^AH!9xynJPTNf%n4~ z4PFCr10mK51WW*Ez&?~#c!G`R7R57~P|rK@+-u`85Pb+S+kxjz;&CI};EMw%_5rd( z^3V^Y^rnCW>fd1WC>^y&c^raI+@t&p{YH2ow5JgrGqmSAflTB>dOaZFMu&W?!kcvv zG(+e_ce1CDN5c*v;|72_&Nrtsp!048Q) z%mTI_MNqi|PiOu`0mh{BaQI1P9T=PyQ;s4gfeoGYa7K zt%OHJt$IYH*99-c?QjKy>}v3?3MUhKXFWAugoD8=9TOj^ZrFib`!B1*RlOKSjPz`C zKlmI%@NRAe59Dsb?W#G0c5wYZI@QeiejEQP#s7IG@Mi!&HmnS)lhK-qS;d$>O1U}) zp}UGcTOBKqD0kDK&yWpXFD{9#Lx_*?PKcf{`n~HzhY*jd6)he613{f+Ut- zxUYG%-{N8uEL$$CU@=Fs8L24tnW3u-p>XALRm)t=Zq@Y|R9oUHi=RTF zR|=ZH+|s`|u5;ZebG|8NrK6W3yj26&S>q)PPpa{Jth^Ed3rxfO3C>TO8( zABZYpdgmUrkaaSyABY0++}RRVEDtYpqSFD}&AipjGGsRw(WSAS#$-8k|fM1Sv^UoX2 z5c`tR5R@LHPAMU6qtICC{ijtZN+?>$x@Lbfwpk8FvcgLKCb^5YF)B(PBL-&lc9Q?( zyaQy^0G&^uMF2WrMrCOyB)+>XGHQ@?B9a?{Btb^ktB;F+UXEtei^mxMdxnO|G2c}?OtL5?AM(plxGn6s+BPOvv=XLUFHEGz4qA{h%k~#!tiNE+Hu;#1eZ*yL+z&ySf z81<_u1SE!l|iw2Z3cc%F|}iUrA`8;qrpl?JR<-^IcjhIK7wBXM@Jm=fA^#eSg= zYci3s77OHZ1L>^Iqb2n{2L-{dAEJ+pm&wEzK>Y>G%{$E%!G(1BSxn$M4VZA{N_RZ? z4-qpoVkkmFu8t-=Vkyl#I9hG;`lqJp)7y(r|G0qx*MmT0?=_B`Q0Z$-!|{~< z{2EB(QUFj(0-AyEDT-j%`;Tua5$}8&;XL^y3!@n5$Wg;ZM|$y*P)FX76g5cE3DShc zd^MU`Sj<)EN)lVlg-Br?hl1xmVe1SGfu?tXSY07k(wd}Xv61bq;j~BNPFZpG(-(70 z?wG1ZQ;}O5-&MmN7#$c0VTn_%C%tjT!DA+G8Z-Gl<4+sB*gl+{VX%Y%La1&rQ0T29 zUbh4&{vOB0y{xUwmnWhv$vT_n*UjZb5**ih%;eQLEWhBq(Iamzp9ROUa$j}pQR%DE zp}wMt7BW#sB!b}rH_LJuZ9`z#B-g$}Me_!Sj~CNFWMvL%YQ~6B*71z1!&DgbO2?&i z+(BMOEmT~i(%&-#+bF?TmEcGcd}2(5ps(7cG-2De%E&}F%gs9H0FS>8$N$UE%x-vC zg!Aj#c1Tvb!QcUaXvLttW0x2QG{MHCr)Nx6z&i|J+>)=uQ>&-f_c01Np!bFd*YhP zN5FL@A2Nyax(coB2@u*fNQ}rFL~}XTXfu<(<6F9Q2Q~{1ccFwf-%> z-`dXX>H9r-R(sNKivdcPDnsIVR70y3NH8aDU6HRZBd0c)K!=(@Tlw-Luf4 zQP-dat7jf5uWg|a*n)4U4JX(mPOvEa(a?BJ0?LDIXLA(2IFp2A=Mqabl0unnkB0?j zO{K-^w{IorZCQRfL-5NhJwIgaUI@Y#QSowY6oGr+i%`vj2)4MkF1*qp>qwm?nS&7 z?HgLOLrC&IkVNFIM1)JT16{A<8*xwK3+{5LnSp|a{7kA}jzg;PlB(-Sf*A5g*5t%?c6w@Z)mN(GD6_aaN(jDPgCH*D6 ztK4He3#q1_MJ>)Su3hKdw-6-F$$(8t1TwN~Oed`|6gRrx*2h9d zSB*9mi(pasNR8>lvUk*&GNoH?=tfe+GEftC$xt^EJ@at83dSI`yTkMqs4<4En}&j| z_p=>}!X(m#&=I48O{QPBn1HVjtyiHepw1!PyPC1V#NY=8$OB2~<%}5v8X^@?vQJ^? zQOO<{fa>FSUH3ioJK(y45A;UG8SHv-;{@yih?#>$d_93Gg|89(`pYd%>6;zmH0_j; z`f_D1cnp|pJi`GN719BvK3An)8ZUKZ3Hvb6EOlZ-Gz90c^UPNntO?dmaC6~~2F^Vo zoWmLLpCylZ@)c)HkJ_Q_?GdWhiXLEXvDEUh;r;>CG>aQk4N;j$ISO$aKRI$ z3Je=5S=W6p;OiCq13auxKLb~O*IEr)$Dmajv_^wM3|gc?t2Age9yZPkvq}o~78dMn zdwW~_rrdrgev@&l8SSj-sTOK=!F8$**A(g4%%nTrUBs0Sq{?>}aiI!t)7(WqeH)oq zfbU6pQ}KHqt~)K*J3Q}d{2rM%3BT9oosZvZ^3K6;`#cYR2j!iP-*fZQ@q1d{iTF*+ z!yyrSGxH9{@4&oH_&qj{oQ?&L>^{N+rH2XkR*sL*N917CUIcMzr$g7TDF6O~J@(CW z?JA}y*a$qtLyTbJI|C@(NtK+Jj^9=|#k*in>%5`(ZIgF2etF{-Zmi<5PJ4J2oCxg@ zWvG*W*%qslHqXOAW%?TX&S8EAT^Wsd!Q@oI;rRH7p-I6**%+_FyFWF&5w8^zyrRAh zdqIvOUC$td9(~bM%}?sZ8r-#*5&l*Hx!Zt<0i40i1v7u7y?Avdw90tL>FFF1@1R{? ze{Pc{Hy;LFr#ca2D}q;vpf3=Bz&&Wy0;qiGgG}sP#=122Y7>irm5IHLvEODeZPzc} zApZv=Kg<={@1S=VS~vXh-X)mb=cj;0mI4TM6I7Ytpb(9LS2^iNY>x{zSJQV9PE2fD z#@?;5pGhokw*;yW@$OHx^&bJb!U#kbvJO6y5_DxVL>F8faPtI7CYtJ5;Ne2$p^Qdn zSEZ6C0KTSXj0H^eY)1b&QiPRjm`DbNF~S61vKUAu*!(&(kiK$eTdNM!+|iq0T|Fe7 zsds?vY$utIB>q(EWrEu)0XS&|w^QLhp$0+c*Yd?)=0 zQTi7P{m7{+jLl^%Umj7ss;j3<8r9Vkg&EP+ffBr;zI8-bTOlM?S4(<`t~M;Pb#*)6 zpw3QR&89V5acZota+XL|!@$h~XCk9x2QiTki4gydMJYJ-a~F-jYc#fovCPzDgGLr> zpnN&CO_ACWbp2b9#OYo+@$^tUg#w`3m528j?P_OmL%SB^1`|;G<+ViGHBza>YS$U0 z@^-r9T{4#!HbK5%)(+IHR|JmwM6x?=e@rCmQm5~4g6-BLZpHIbR~Se{w$P4 z=|+E^;a5a|=GtGtQDh@KG0FhW#RUT~`qPcEqktyFKi=-5Kd&=F+Paqvh*N)B(;&WMM7;i>gm>#EZEfu8q$<0vabhsaw1QUa$-n^C zpZiK)d!PYMm~SM7DN~=2t|!PPV^5cd(6Y%fbi)FSgXKjf^Fqf5OW> z(vwz78r81X@ou#1MFIBLCRZodI@_q32#?jVooS+D*DykK>=Faw)Uju2ksf+-ypExb z3aeTTa3(TpbpsP^A1>v4w(#s!s~pCz(AZ-b%dBmGh3utpyaUJ3kymFo4MnQGTVtR;L!;lycL{UFfFRa(^opqjQA?=hNoxzLwh zvhh&Sw6P3np=oz3l~_%?i&TC*N%A|s(7^>n;>aa)6NlQ zq#etWU}rnl2O+UKR(P1`SbIi@jy1omAWj_{IS}GobYi@Y9iSbnbYd_H_uJ9Ha0@Vi z_0PX#4xI|Om9gVAb~$62!`ls-|FRvMBUt0K>mDNBdxDU?RsdAHCgMFtyV@IKXvZEn zShQqt_0NU0(-g02S64}++SOi|5$*c(B{J-6!FD1f zR=fI0P3`(VBSgDa7!ap+ecm79yWseE?LrIoo3>#0I};g&Drce|NCa-@ZYL*Bg}RTi z&BG-2HWM2i1>D5gFD16?Fu~1H09!DB&Pu1{b&4d7)2U;b`gz5DSd_A{`coNOtg%gB zkT2D^M!d&p+}kgzu%K~Ari#YBz>pU0*_(nSGgjjk^aGWiO66ezh_U(uj84+%X@&_Z zMj`h|Yh&!NplhV0i?(({>?CcG1p4@1qSmghB(n9f>2n~D=;L?x z7s!6WchX<_LWI8T#xT8woXzhqXq2l|bL#`Sjs6WQ8d{6r>IJ>LI5{2H3~aVO*@Y7J zXZPZa3NQGInJ*dvL%kx$zY&K%E^;p6)nN};(1X5-3&!+6&+Zkt8_RiPE4+beSdFykL@+}i|A=)pS}>g|5)&Z8Be%` zWt@zoS4>=6#@&oKpOwZF6ibmLc1Qd{fUy0`Tj3oYY;(O$w-@Q|K!?;YM`$TG9PeA} z5gN{m0bI(UEA1eGdXYir+Cf=N_%8;Hj0xgmm^fG7&_|}xZsQ~2MAl7fP+yTxTuu=^ zuxMHrD6+kaE@IJ7#lE*O{@-)_pXT_tL$Km;z#STX-V8jpY_uP9rDID=JWn}`NsiXc zg^q9oHm-!mj4B*Eo+|M)Vg}Ohv8>NNW8w3{8t$XZEdw(^C;kC%;l5;N>cEW=- z;Vq2&1aVk97bim#ei6ef5e`w*22v55jGbil4P?Ydaj2pgLePgDC`|Yv48O~XLN9}< zZ`jI&CIg2nl5ctfc&q_N23ko5OypWd4nrg|aHgHGn24V(CrTk_fCR1rynZ zkvAd|nHXYcf;aDAQp`FEap#$Y4r#xE4B4PO{2!o|1np;oCJlja1+wG^ATIh&%1gm- za^8UO7&{rSEkUw-ne6*P5n(_MVY;-65)D$As|d3;0+W?Ypf+nf^|=2AcOZ7YAMxH` zj~Do01B}XyCKNYaV>F9eCTD_YPx>j5FG9!Nn+8oS1t=+hYe0;262%S~mhu~d52*vWjN#tz{V zHFg6s*KjUAxHT)7yJ(Dm6V@lL2bvuJH#zWE=vFYn~qrJX50T@3fDk6ZK-5d-G}99GE%GB|dT*Y{nrH+kI{ z|EJht9`Y>gL<~VsIgS>B$7~_0e2MxK3P)?K6BA0C#1_YL$!t5?_9@V`a)17OH5^J&L(19Ao{s`VEz0T+`M)$kYEd~h zll9W+LKEAEv3^HvAa_2_6|*}a)RmA`y;pc-&qD;M;1|7@r#z6ACg=YwhA&<{vXlfv zBo($vn(3arTj0vTHR<_D`F*^Bb0qGafziwdv9bhP2na7s3qw2xf|q+3@!aTu0Ok}3 zrygPidva&ffS-u~dtGogik~skJ=U8p^|l{LA1A#b9eQ7$0Pd-lL)upR3Rc6tv=)Ry zo<)!oG<{L<4%8ps3q>T;gxN9v&&05Tj<2zPgWIOfEsh}?=~~T zdezAdjVNzpyPpUq>RE^V%EXMr!Nga6L^kyS_-*le5HTC?-6@>+3JhD5&=w& z(fC>$_CJP-kK1Ljx#4FPk@CPkq?E!6Dee7-g7##kU223?2G}x_{#B-bGn&2}>7@_i zwH@e#mN9)_OO0KZb@XQYxy+2itF{{bOAT^dGg{ne@wlSRU%Anao&3vx z??Nl~Krpj}IsfA*aiQI&J~3^K3+=gZq1{H0)@{CO+paee589~+5+Zb%H1z03iN)&CO7E*fK=0xxJzq7v z9zin3S#A)}h+m{SM;>CFBT+5N(3zA2(*dIABATYPGx{7mwK&aUXl?dVp){aF*zj~< zM_KVdvX3;RPm+Tvag?QdsjoWTQTCo5PDk0cQAgQN|HVl`*JeHft{OgMR`4uv3^flO z4B2ELF(ThYWB|>sYXM)3qwJ9_9c5t>n$v^R#YMKA!$r28xX2phHxT*uBh@>!9W&BS zU1ZyF$vFNrQi%uke(^l^C7M#8v5Q$8{-9Rv)Zx!i+usFXw>rV1@alTTB%nOV;qWKE zSfR)%mys08toXAcNyJ5Vn{Or5%yyB*SGxnd$Qrh;AzPnyH$qSs*(ge>0%N!NRshl3 zoI$&dhwQ+IQ3A$A^-#Pg^pHL0&%G?RJrCJs>eVv+ITaMAfFdHdBT_wNKj9laWY_b> z;UQ~MRWj8HlB(;=1Tp02;hjQ@ddS*tjk8y?$uW-pI^LvxMh~(QAVUMTl#jRu?4h~Z zfTh^|HSuu=ysWU=A%y!@@(0Z>*l(t2W~f5! zI0!{M0n7(H`}M+sbHR0ZFg|@&VWt6|rND0ycrbt!vL7MmAZxM{iM;-vor{)4p8ov+x!8$_NG@QLV*gaY zbsaH9eb$QZL#2=EkU;r&m3a0P!m$qK_(s*e^iI&dR}b6QjNmrzIr|X`o!H|z$o-#y zu&3`Q<6WokMen;*eWF-??g%VXfQ7n&i6t}P!A;v2!J=&VkYFasW=&GfB*UXgR0RhT zy37H+MWG)ibUOnrhZXTAd-(ktq+1p2MuOFMRXzl4DZwtV!9G{8(F9v+z{DsISt(fd zk%>dp=Pp&-6|g%2=SBgq^}27Vwt7NM>4u}LGLC&@19AVDv#>c^=UIk{Ft0e{qh2?A zd$04huf+-HD6zLzvFt;ZYwuoe3XNNj(5as{HzQ5ZvkY4b;ETMk183KE=lJ*K_;=;- zMg)uuWQqu9yUVgg%z3`cnxH_jTLo>+OsyDwVxKMh4=C~T0WCGH;SFL zOFYfsZXPf%XT`Dtd}G^b&E^|klC0^ZtGXDS`Q#4Ffa}whn!N$PiW=7hTwbsbjPF5y zRvv_5O{;^oh0zZR$-0j3o@x%ju%c<^kDq9d0&pM7b`;0vueL9*@~j@|S>}F#s>HMQ z(fu{}sr|;%>aBx>%b57%L!b?~Q%U1_roUNt_kEWNRaUt^56HxF{h*2RcirbiZzin& zOac07ivETc=;1};vr@>?JILuQ{55;AJ*ERSp%8B~x>OlFONeI(@IVr6I7aFLSomBY zMy7&8+X-)p&H=$**yez1FOnnWROqj0rndsgXW2I_;NuTOjBVO zez){p*hIAso2W)=-ktz&mK&7B>H^wWlZ>m3_E-sf@B^JE0-LvZEm|OY9!LrO8w z*+Ww8y^}$|^YH|n++++m-II?hhKVRy5XMcliCUTSArKl%F|Eu+6tcZII%?9W%guVV zZJAyR7GRnFlaDyd^q)8_csaezt>bVixwa_%`G(vlS>5qw<)B7TH)*`ubJ=PB-%7?d zqFVM<)F&lC{ZuhnWx~k%xzpm^1&dcq8k7OdSoB&tVb(;Sfw<2Z{UrdK-f(RhA;mbi zM>LVWu5qahBsyf8)3vj@W6}}(A4TG!Hgo_u7V(+j!x$V;d=K0BEC)WA#f>997X0+dZ1_;ILg;X=vyT`3v^Qdj zIQt0LE$hL_ET9KdbMg9=zLr`qbprQXvq5m|v4a?lth9C&?rXMu0<#hexV+YMv(>o> zfwyQvYsYNK{vbtI2HakKEw|2z;4TC1a)(L^?ni(d;II1}^1f5$)44SSaZhK;dp+f2 zf`4s=;2RN)WOr#YtjOBkjPwJY0%_L9j%eY(V5>CQ2ToVxlOH3L(|ds%Qdk5WFY0TB z^=}1%6c&Ngig-@HnV0nBrrwFh^x7SWTHi5A(A{>`MXXDsiv9v|5eFIJR-`U30}zH-pb#w z#Qe1Ze|}I^Np>TDcPSIr51@xueXQ^|bR7A++~zN?br=2?%tYc$llXNcW^3U+@Gg2? z2PtpS57IjWHxAUIvHEHQY?zfa_@pXGC)~S64Za+Iy&rBGt8@I{Ib&gg!1A;FD>V@1 zmn87<=Ag0j9{gDBk%MPD)N`&D`YlPw@mI)?_0C4Hv8s5hFj2hKJ!TbWe^8gqyjk&9 zD8NUBEl~_1iZY^@P82*euUx@kCz%x)|7D5$2$-R@m?#K#9flU0OJR#)`eS9yItHlH zJ17qn^gkhi#uSv7$2lGyr!0%edRh?}GjlKbE?5NNV>k_SCuZK8WUYHwxIJHSh_(kj z?HTFWHOtj-DOv-mkZ~h-8Nqf^+h@@C5EA-NaE>O$jTCs?jzD{~Nt-GSnu)0cOdo{U z-%`0P>zo?4#9WHS>be>|qS0pmC(_`Q_Mc%dV!(J=9Tz|Y?Q0=)&e3!UhH zRP>J#PbWcNNAxDRkioxTyoh8+YBH{BqoB|TC$B|8cvpN0^bJ4{--ofg0%JiuE*3{W z5Fn7AC@GRjWP5M=M|oE!gup>WU_US{QO2b0MI;*ZTr4k&dtyGy2VSQgI7Dr3;21{^ zv1vFSZwKTLgXjbEhseL-4-g0D50Os(KEWV5%HNYvYUK|bp%2Vo>R<7PNa1g6!tAYs z!xA7)z^UXsn*;Y-CVve7CG2m){*zh=7m-Qc^flAkiNvsP7=q%yTl}Kl#~#u5;WzfI zpwr5pg4UuGYCjhvowx=kOQkv6SEMrvN~jDz!fgippf5>tU&n2g?i*U+B|9f1E7otMmFl)An( zbYPUBeWcQUmiP~i&>n{sbn=juJYwZEY)S7r2fXD3dzA9w-;m?qDn8X%-9=@RDY56C z0N)0u-jtJh4sli|+xf|AUE+DAu;3NkrUm3B>{inf^B%q_G2>qKrPB_%pECZA@7?tZ^-6}X8JMtH$oW>rQ5are9MunL?DDXzot<6b95!GP(G zHtAU9;VSa5ISD=b?d%=ni~{uPqol(TL-3p1Tc+>B^p7!p9c~a2i(t8e!Gbm3Q2xsn zlam%Rmk~qoB}^;PBG^8b7QsU61MrKijV*-)R1oSu=SRbTeo7#BA+lYn2d>800l$0& zJAlVC&@Cx?$QAoHbcbeNZZBspPF5*g`U`Tgq4{^T%=_7NnqUuu-$5{%&W3!+JiPg1 z25hhy(Vgu7I@=m8=cYFC6M223k$ zY-IpuwyN<8jt&?XBt2I-1?>nCKEl|FI8s8v9M)K6Vt4VC~4U zV72C_){zCPckr$YR*DSuD&lr@lhQkd2p0k&w7DE^M=3NUf7p#eG%iOsE1a8fMFtM8 zV17BLG$6nJ;_(oHuadtQAo2vPP%+nW*=eM&HlN-uJQD)RIj>-c3-iq&X`V6Ig%jMAR2+@zDb(&QieexRnNB8 zB;i&R*gD5C$$XOpo~7p0BR#0Lcr*mztK=`r_hT%F>G^)9e8u~5j^g=)`jpcI$_zcKNf+K|mchNm0 zA+_2jDP8SxRlVImGW!wexe7g$(9gv{$IGpp>r)%yxD}!7DT5r#Nb_-%|L>W9!Gbtb zpPu;v>7I;97nzMhda*g5)ATPf{gIk}uAP1o(%W#)E8Hx??b$1;FjwlM7nu(f^VeU@ z^LBle{6%^70pXCIiLCWe=)ItLP9dI!1`p_&`eehhK)ta*fWhFeTa}Yor)IT1wfC& zV?RT&zpl_z3H|l2Qq+tRiHzWjoHFJw&cM5`lE08hDiXyUZ*60HL$UQCw#TB_qH-|+ z$ppAP79cQh7->XQnOh}%1%+htO-1{D7^$)(6)gwN&pnM&wgR_U;hra4S`;o`4SS=1 z(PPs_O1|7hr=up?2N4ei7f~TgPw%VbgPbf=3ZqD2$uA-y0Ij=GTr2SyzVs=LVJNn1lC~r&-^Dr#*Wl2aJL^$y2qO zkJ8G)O{6(|ChW#1!9VQA`Ftd_8*dMaQDNFhn@vHo8EbSpITvF}UQq{&WAV=gnp^1LPO z^3GuLgca2|gB`rBwC>y^(v@93G4f5?9Am0GtU2Aw^Ow@SZpz1rBQlmcr*^#l$`Pp1aU)7^P)040Ds<1a z773{oILNy+v>uXX^D$|d}^CBMbzfkhxFMv>q_|4x&&kL!#7Gp%5pai zX~ahh`q+fJCmv}RnEdZ#{(q3V*MufN%l0R_txJ^wxt1VX0TT88%K|1#W-PFNfvuw4 zP=YB(T-Z7qo30{m24+*!HA?Cz;`x`7vN#WEyg;cA6PYIukMK*%&knfWz1rLv`UYNX zj&D{po4*2@9H0rf9$_p@B=NAoT{>9-*K7poDhaUOzX|e*JiZ)Ivk5TvxH^zr?z3IjoG~JQ?5plt0muy9P9LUJr6dqo83A<0Y7Ln zFSF?_Qe1($3&3${*f@q*kEQO;qhvrUA@6l4DqFuV!MhpqDuEOF z{6WuRtjrcc^?5%}iD!HG;x(S_so@Lo>w@BYwzmnNiQm@YEc~{G;(NAt4xfbIcHv_s zgws!r5E{&g_rPId#Xk;CH|VOjqbw$Iq1t3|_4{a;5Y`6sX?EBZy-cRq=B`#GZp?G7#75h<3{`!T9Nm*)#*gLbTItzDz4d_*PG}f_rb?s~Yqj_vM^6xN1+Fk{#XWejL8@tFy6vx8^pz~o22Nsv zHib*t#~g)>o$W4KEWL@{yTypU7e(I>=Fb5||MnJ$>vq9#0MY-rJT^H*?*V)Q(ceg1 zgAo^SExO!f>m|I{8F{}ZM!4V&5*6Y0#0b5ma9=2;aNR{$GQ*~TPU&Gv6V5R}oH^$U z$a%ko(!3z=)Qk(J7i5gcJ2Yd&^n6s9P8q|d-;B1RO-5S&HSQ%r&R2NN(aJ!lq@My8 z;eSTU56+;(dmQo32VT4Ucs7ivh)Z&T)zvRhEGOHcLogK}-QkN+FSH78^O3MS>;@O7 zh6gwwonRc1wm!c5GuBuy_I`5nmZe_n)HNIvKwf>HgV&~S!7DqU6VMPeQ9mx_T~c@s z1EY3WQ+J4OSUw$21SS=Ofp91L>smA&?j>XQNOKPel@Mm`i8(pH<8n9k>ERE+yYX`N z&TcG|Vw9OQc+?kP4Iw+*5w#i%BX-(nmx3#&8M&4L(RDGzMS%`=$#ZKO8hGQmR_T!!F?xL(%8 z@#0D`;<^Y0h)Qj2HrwNO@iv$s!LGw0d@~@6EXo$}j`^;a2v*l(?6XtLc-%xRHFg8P zQfrorR{hQ)2kz_v5H;?+HE<)v5@2)}n`7rKdiC5Ub?gua-Tzm7dY!9lQ=E+iSJBk1 zq}uuq?%?%%?x&T4oO%`oJom$I4m(H07{CmJccu96m9_}dY2Rp50)S#}If+YH78ev* z|4j%_uN27pxgF3g|Ee+mZP<*<0s%1ABEfaJEcxmgFZFb1q}v}Cg5eX5@z1B$BxnWP zj24M)jKe)ltQIRz@3hvHLq8u{zyij?fmGysRWr*sLj`+)wvd~Pg>b*;5nsV0Ni%Q5 z7FhCuD%9~}M+-1V6aJG4cYY}e(>*2dp*Im1G2(rRD50}A&S{>YZ2;U5=-kCwubkbe zH#nXcvp6F?kL0!WfmV2);SR^7kxdBYHK2) zu4mGqYia_Dn;1Vv<1f~D|*d;N?~?{0_Oc4L~6~Y$g>MpLlbA^P4wYzXnPF) zoT9J9LXvd?@tEVfO!x~7KLX*ry0{dk83yJ#ts-Bwa+BtW6?xC|5@ehogVTeoWI5yQ zB}IHS5p4PVcL;A8+=YA2?19GQ@fF{7PpuA4-^YNFejDEyh^8i_c7@0Nkg5 zO9(h50>~*iLiQjtJOj$k?w<-ihv56S*xAiWX(6MpmPd2ltcfmQqL4`>zFlPwMv~}r z5X>A(pyzC$tTtfcE;1o|@r*=TD`+c%PLDE?+JcEm4kp@Yq7ObqqBBe)nNST`4>{Oy zDcFky>mC8qMbhiZh&eS;G^U*b-$~#f>LSu;$;c;FQE8-TqR~vW)Fc8Ux~7^OZ4JpUyq|D{GOd5&o(p^)RG$3q$4!Nl}z#JW^D>k#f=w+ ziOptgg(EhQyG_ps3t4;N;{X<5VsH`}XD7=}Hkq{9HeaGR*g%xbF~e$8H|&UVDwi!dg>@qNT!iTI4(c^xwP=G{2Ap;|eXC5*vJV-2B35{p^d7^2~? zGQ6+B9vIgPf;Z=vt$|k~t#3=@ud4^-O+{bM$>2+DWXCG9D~asWPohMQ)9^72uVOf6 z9b$-FDMaFt^OOn;`DWp#NthmM9{TW;!^^W#*1yP7_Ub+V#owZnlxCFAtYGf zMCeh3Kdc49$%^p4{|ezaI4rPvA_&nHL zdhRZ|fGxekdCkRdQE#`gitrpFtllUHWhN_9Ukt!t0z4ZFU@N%+X-AM50Kp1((S_*d z@tpG*=D?x!oiqBniv~dG4fk?VJWBt3rT=~n(q_e?NA^q6D3qb1B`R7Mp$asG{fo_<^e1e06c+omXqnl-?aDR{WKnTG<>aYO`DOTqk#!)zVoZ_#8A zF8$pgQ6+sq4km4hDhmx2@JbON3Ch1E?L23?F zmPJjF8nBrq^fzT!?NxN-^zM|Gr7>9!-?8A8`? zl+<8d2v-w*yXvhNnP4X~b^F8BNSGayP(~0Y`ZY%PL$q;hey?Nv2qK7V*ki8Q%u+HB z(vLKGSHR)`yL_-h;zSZsy_je}_)v5~AdI8i9hhx!K;Ng(g9-g?6q=Su$l9|w1~#Cu zf35=Tv{+cV068GP{^FgGu&fHtuJ zfqA^P)?v_utTIfT1cc5=VZdE9AE$=-lyZ1ZR9vYlE4NWJ+vsIVp%FPJ}9#n zl)qnAfQcKF34t&&>x#)OuxvxWsL&xoXT(6q%k2L3F$rJNgby%b>u5ss-C+IVH)3GR z74|B^Zhl|nLi&W2YnB7@>o49Uy2)SY<~=ypD^$D~A4WHAmS0wEhZ37ViVeDH#uEnM z=V|~^<{E5N1z9>FA*1A4JSpGoL2%QbpILafSp023L ztz|!5$oivFa==*T_E)9(+B-nmPf;?;9(J1Oxr}xpI%8;F`;24rMl@Un-l04;n%@-7 z6vBPJS_Gl=IZ*;XFNm#Sf+n$t+50rfa3)!xNf>2QHqnPMI)Lbmqw+4#=;s}Q9DNaAh!cKJ6nck0>c^rqC$8xwvlsRXoENt&g zD`L~&sm9z(&8TIxEJjuG7n_x9L8ThadJUXivD%Mgi?G3dM~=V2dU`p<2LE9mSzr>& zJS_bcVES5E4)4@|AkgHwtPJwzTRqNk1_wNPoP4OS6cp66%i2WmUEJk%vd&cnb9b3j zBaTCD@XPra*)8G@kvN_Ox7ozu#`>f1SYG`-Y=xsDxjB z$$on_@-{E>7QmYi_detus-6sY_ki^VwCEM2xGa%ctIt-5b=VF_j4gA>dpTvJ}$C`D0gEoixdHxwFz21>)t~399zxB zQQ3oUf>$v3WCWwAiZz+pgCD>uwY}cwI9t^VH7>Ps{%ArOE)IAWW8s&psP2-NAXal+ zJa9d9f@7xhDrF&;wAL*bTDNLEC#2~5fjexZEisTo2wA3(XSINYw>_X`m-e}6bkHQM zdiy|4LjQ}o5x;z-r-IYQ<;e|KKv4s(QAmffUxk{iWq%5m`1NgQpkjT~)#{$?BRC-a%Cnz304oAl#(C1} zG!@dY#mM>#Ra~v+4LGbNaj()yoz5!%t9Yx#+e9L!Y0Ti*bMaZ$o1cr}C5m2f>4COEY&YG~r;Grk+* z?Yrwt`27q|mULaqC0z#*$WL&Q$rAG71K4S|-1iBdd`{%El&7!2`<6wst$L@`7z z=i%C0RxdSEGf@NV)fTh2FfHJ6FOh0A16r?@XV<^&w{IeE+ahlt;|H~L}I@W`KDN6&%($nu!_H}*_eBU-{F0r(?X=b+1vx)U!dI6 zpGJ4AO&Ug5V^0P1;0?I4uLs|7XenZ~vnIem@&+CI+kPOlGoT}u5v+4}H#J-BXE!&) z^UH-bN(Al2mILeeo%lJrNpaAM8v|!*>!2H2p=Z4ftqDT5qU}M^R*X^xf}Kx7EBFq( zNVp_JPTkYN58y@(7gGd|f|>V_v)SqR#(p8pnKOF)Q+v%8)R->v^QT+vtV!{PLLkv> zrK89p=^s$VA?a1G9Z1sOKhxa2#&rZ*mn14~iV=9k2i=^+>R#g7iyGkD1$T+OfdSVO zzzfFqL5+}&ej`*J5b|%(UE^8@*yQlhh-xoUsmRQ0tU-h1*jUF#s)tb00NZhj!Qd(Z zSjbZkDI{5E`>CK7Z4M~tPpW5gUWK0Rub_fjI0@f2X1GMT)BV7!NayqHq>>&>f_BUD zvnD>9iI*cW;t$gJK<>_{lFG{aE{;m}Xo7A`@BkBFIT|(e7+-xMM1UpHokOtvjvE>v z!2d(uyMRYoU3=q`KqMeKDS~3f8n1*DNXo;pOmE#p#<>0jx6T}NRFqx9K z!|1d%miE||RiRo4>$WqoChXomNi@61Ub zslrO!aTrZ|aaB_RoF_xsKXf0-Kn(($Bj_<)_nR1_X2;!)Xno0f&migu{ga!wD zh}-rJ`UVL6oaE(?%(y#{gRn2UP6=$LYL#GvD{dkpFC*e2?oDTdO%F2#lU{ zsNb5Pxiohfoh;8at=ZLcO?+($dy%{UWYa9r1(P<8J;P}m|AwbMuUZFdY{xt^5f6lI z`ihfzUTN7Gc*SWQUsYO{>M*Y=#TC!fuwrf{@IW|dfa~B?I2n6~c*Y6qt|(~g!vJ8b zIQF)(XPmgnq~+|PuSG?(mm^9_;iEpLKs>d>XPgpR;Q1`@s}Fhw>SI1AGxUzrA;5!% zm7fGDi@A{z^073z*_jvfXVAOEFFO^t`0{}wefVd8{J8o`Q4CfA%Sf^&140G|s#Bn8 z_yJ51=p2hlo`NcMk;j~W5wn^@E<-;H)dO<_KZxY(_^WG3KC$vF6p1Z=7V3(hfL+d( zd?j>`RNCa4m=Eg(l@BD?% z;63uXXQQ{zu;SC7A%CjzAk<$gZj#rNs;74?`_N+s`8SFDnFrtsODF{uR#$_1Ctagl z93S8!2?!H7mpHxx99CQ8H7KZOwY~;riaLs5UkHNv@cJ4Qv!K{X_U?2L5K#iE4FO&b zrBv$^cnxYD-hc96gF>j9eV4uwo7#dWiuC*>ZdUg=@QwRG)>A(=9MIRGK!x!vm8Mj` zF4YM{KTk}qZ_($V;H0CEG0oi|bN$354E6siSDNyWgFE|H7$1*MpH)E~$&x;gl0<~K z;}qT!eE$i51CKu$;0S@I3UHt>az~zh@{ymV$b0Sue3c@{E#XtwAV1rB_t!y*Q}rdD zI?PmE&L0f$(sD;J8YOap%Ur5UWUF4*kgnotq&XZ|vh?-%$sB)KHTaxTa5^bSZ7|iV zlS-QR4xp!{?;WIN9X>BRBgh}&s|QeQb}VEEmPteJ9h{?Oyn)le?m;L+-a7!=()SLs zeQcI_*>@4N*tEoct2}vN=)8+KUiuN~tZy^#9RMWo*a2Qzo)3=TE-(i()ogq{ShSEV z%ILjtnN|svFy(AA1?xok+4LxYs4Id5HEXA+rsxyA3))) zc!K>@>5R#qrK&@1P~2twDIw_L!_>LbKM|FkIr0FGe~y&#PsrIaQ*{)EMl{e|*2_-4 zS`g-CVD5e)C+gfyh58eh^f{c9mn9SKA>1?Jh?U-Yje9_f1H}=j_9;Y=8P|Itv5w;I zadzsb1?jVjbZ;PS16Owx((H#bRWI<|9+mT3D(v6g4ME)`#Z^5lXZorhP>{wO%)yFc zjBAa!-ep`rfD;vvcGb;<(b?g}N^37@>%}cGpsg36Y4YExDUM3Tdn$0WpknzW0?>3g za!(X`$3&8XMOr_4Y4CnW4=0d=CV??czW{wL6Ua}X?tEk)RRl*G`rVBz%)B#^|-tN%3TKQhhEuY3|zSqzg7gCtcbRope=CbW&4abkef^ zz}QQ=_hUNr5-RM!V?TzI!#b8J2jgVFJCQZJCvpv2A}cpcp_z_nrBx8u;xe2aesD+%IxRi zaHrtYyGuKuVpOlZd(u~EWJ2n8UN%rbEp*a#*Js$DiMc_$+Edzn582` zhtX^>Y1E_MY(lt2LCOR+gM!o2#;E{CEd-eNk;^xLzwL+>w80B)Q1jZ^smz&7S^8ga7mgCwH{A8<5+hmQ@1}kh+r`KMZl(!HT+JHjW@e*FoR})W+a6_{17`EU!Z` zy>v1Uw6i$2Sl~I%8A1`RL2#+YlPOyhYg*2(>Q8iD)l;3iYAcN7*2s0Qp$agcU`Od| zcgI1c+So=>QLeyv8$9O)NtV(}O2%x*MLuL40`=YK&dm}A$m_h+t;S*k4tP~zv7sX| z5{n;L%t$SJeZ&%w2NPRDz;B@~9!DSxy_$vkgVzCAaIq?pin|rei*3#3j-?cki+R%k z7tYb0U=gFH^U`-l7zmV_>bq$qUce(5PJTCzNTjZED~c~+#`TWjw7q{H#q1P+IrxxN z{o^F`I!(``Cy^zfeoi)u!&7+wp!2G&BPhwh6DAcrY91D0V2n{vq6U=THv%t_OnB};ga@|T5+SDHwEA&alACT*B zazNg1iZkalN|iVp$IA(E*DT8c9*r#T{_x9O#&|tQKi1O7wbu_%LLTVQpBLTfytFS& z$4D@NIH$+mpn8Ej;pX*Ti>KXz6C}GwUCr)LM+yH~r zR!(mpxXFVsz2Fi|L%fabyeLg}QXP7X^7P;tC9?CWAUk_1AlF{<6DQ($s0_^&a;4$w z14Da+p*aYOC~&pIRjHmuJ->6gz1?%AsMCM7wT*c zERGe?2*8%caycR77 z?e;{`FQ)of^ccqMXxi70+0$rF^3oS?LTs2PnW?9sfhhWB% z57CEm6Hvd@lNBxUfnMB0N7hJ3?ckv>r@rH4obpB<;A^PkZzh=oXPges8ff;^dV>0R zPlinHXDf~O4=;X%&!5rY_8TVjd#2k(V?wG}c$brure6i&v~AJDqn=vaZ>sTQR6~N3 zaTyMDq#F1Ezti*EQY2T`g?iv=s>%QUx zpHS~Jna`Okcv_M~FAIu@e(Y4im3L3TwM2nvX}quphYlV+Iv5T6qJ@?H=!PBLiWkSAy}$@Pd~Wetgf_cJDI zCU$;lQk|DHuQX|#O-imA1m|{~ztK`ajy&(AH6;h88fpxahEdi+P%OrUmvc>EJYoMR zGAFe$3EzdVQj&?y>+Gw9S;k*;{iDK&G{~fg6+pGFOPPVe zbu1Q0CdG{tH2-|5KQkqas(#halwc-H#)_>mwT|88)-f+99i)it)b+P<^=;-l{J3Y6 z6^Q-6%)%N)qH$ln9c{fO2Y0buyI@)|cvY~Y`Qf7V8 zX1?WQVjdCA&rUs_6ad3R*KxP3=|+=`JsqrO!XDfxh-u{$opeHCb({rGV@qYth84e+P>J{Z~E zBA-Bvkx1U%0KZv``>?3Xl^u+yr+)obmDYFOBwMhG{9ed z!`UMtDm(RWUsuAaJi=x}8mLB9Eec@K6a17KO_^v?D*cr4nxbP19ChDnt2w(?Q>K|b z&-f`5B_)$&*Y425_9rq)N{s8|7$oLy#W%k)Nq)g_ftu}0DGP;mWVnf3=qIRiyc$x` zz-gQj89#y-3p6e_skIDz$pq>cz(|P2$0jmx4g$jUH-x*kum-|JA8NQH^<-rW{gzbN zcVaZ7qk!zp4PS#jXkM~?2X2~J-l2kCxdBuZ=!d0c2vc)_(V);SmP#vvI$ooR5M$oW zHyOZeP6Wb2Qynmt(h=QXB%cwL&52Mnb-YQv#ZMK%XlkuV{gR(5!qL=;CiN_vnu(!B z;5A1}2fl~#+h{3D7dqc+R64zO6hk|Lt9R)7V*q!fA?dCI&Wuqf(2r$e=rIuAhd>_- z`#75cen-hK1*1T^Hj`;c;EqWG_s?p>_D+PLw*V9lZ-g}CRc@@iy-K#m<=wl5N_IUf z*Q!7`(UDgCWKD~F7!2OH?jmIb;9{4g`YN$cu$O`)TY#acOT3w6QqTc2c3#!@;|P-x zBNI(Dl!@R*dWrrB@?D&1A!@RNI;TV{ct1U~Uyd|=EC_%VgDp$Y@-R;fLBI4CJoWHm z_n@dAL!u^?4N&etl9cj^U|uQZU@f7>Tptbl<C6VIW*sB(9XKz;3*x`mv~#Cy7y`QChE`)K{63^2~5bu<~iy2(D!8I?{n_R zK&aEL3we{ZSk{U|0kx_=H&B4~a(P@zcAyGF?5R$E?9F9Qyi zkEhrznXy==l}D;OU#r9p5&r5LK^v&W4H_NQyd^Z+{4L3X{q|V3litdqf=R{@gu7#0 zstGa)mDR)ZTyW66Hq9_R6QM{}y`xUe!P^In!rkhrfzzU^Xu3d-9WH094qEM> zyx#}kAZZ7dn`^^ z(-pXFU&3ImRnsJ1EBQ2#no4GbhU{3jCT3KC`+NY83p>b#HcaXURq*Y9unJDq)h6L| zDX6NQY~Er?PMgJ0>JHYyCsMAKnhxR%C!oNt^b07vVt2V;qW*t|Pqve;77kLNJ~i6# zWIeGXD6M?@9l+7f6i>*s@*8!6eArNMqxjgexMP||rX){AaikI(;kLBp!*oeo{9v-3 z^@v29d1GZ)`qvEjQ1B#7^$j=z8;I>20e`^gst3^iL+$Suj^F+^<6GJv&dZTp-UY_W z8JaP%Xpz!vD%z%>iq#m?UeS6<)5xAM^so|WG-z0R-oZC0xEeH5G?3%&TyzCPf>)Jc zAD-%~84`StGVrQYU+s|KxGFGI)6Tv{y_P=E?y@sl!-w z_tEsx(gPA`x^(7?*{ibiMvnRTDW2kmG5)`d^$?5#oRPom_0w1AVEqF54L`UbcuclV zbl#LgBD+z1K-62!AHhG3{Eqm4q{P1k)?8k5GB3d8Gp!uBB{CaHTOyn0&<<|de`<0cODOypuFYigq`k=n2(vmTe4 zxF{U|y_)b_9cBEQOhlPdlPkp1m(;{XO;`hOBJ(qOh5Neqyh-qd5vEXBs;2vFzGOgk|Wkff9OEg9Ps4#3=P{AmYA9jjJ z`oK;6_e8>PCw-U*-V9z_84{6jZ!n`*2>Hs@H@I?5p%L%}BLo_;Y*cCoRj7+zhENvC zSaW9z)!!l1_kw!-_o(N$y-3GWUu&uV@L<$qMI@|Hjjx927Td6!3U$Xzp#4E|ey5G$ zSf#xOwBx_fez5sAw+*T7MYA4nXfIw|#&(QT!h}EC_fHf429A!UM6T>qsHK=vPS>lN zR_==heq*JKd6Mztr>H+x!Sn9Vz917Rsy!HhiPXzzQu-xp$M^*FQY2IPzM;?z5(c%0 zP0G7{jCObho0$i#K_WMjHSFXV_T85Q)3H+^TLXpluGsEO$EuLUENnL(scX8pUXja< zo`GEWN`R$#Sq(Pidege={uA&fG+i948>cfyCV2xiiFywWT0%zxU8NdiYk?O8WmcTW zqCH2y(?V${`o&BZ-g+IcNA3Q>3?2=XgUxFk?v&us8Y+%RNyRFLRDg9fj=BTT_~F4B z^~v9}5D^;KU)L3ZLQ5zw^L=pImkzqLyu0mUk@Ol+#sf1sVT;KR0MW2VdxJ=4)PY-4AiONG?+So&U%q4Ot1)8cFW z0mw9?)MtwJ=G~8}7H`0?2~^=wyB!+DH_U3YJA4~iww$y`wMW+`W2wFN1712=eRZ=8muUbZ0wxJO9G zMD}YTdl?C!QuF*mXjBpNwTnb>&@n?#Zf#Hx>rA|;3E}U=c)){AD(qZ)GG>r!9S|(h z!$w_yq?!c*<(Wa0xg=vPF-RAdzwiP}ZEc_um8Lx`X+AgcVkJdq!IZ{qgCFBjfs%xP z=g^&c@QCT!Ow!zMkTn*K0H%Y8fd&=VbdNn>R#c0GP$WAIi#!y`9+rnq2JEI9ay4pt ze9euYL>zP3CBY|$drN|$=<1Sae@nt-Ps)rDb4pU7dsR`42$ zkz#{SYQp=(pdd?#&Q~iPzA=S0+HMRMF|@-nArrC*%UYe|K5!Vu2a;mrWl%)W#ow`L z!JETW{YbypcYpAT#|DU_hNj@K3#7>@-!$s&bIIRy0y!-{1Dro!dnQTSS?9DwM3inI z3S2vdAL!ReOo4$=@M1Kq=;a4=+y~U8r&)f}^CUH^G_(qy>_(G?X?~A23>CnodZ<3G zq{H7xQw<6c(CJdvvc1a+jR|%!FvGR7^OYJep=&c`=I(KxaHp23*@p2RJCIeZ%&^#yR~r$;7I}mkO!@3rk`wROXeN;u z0M42R97g7i*lV}UQOc#_Ny=D}XOi7OOtWw)wF75WL9LW!$&gYnBvLGt_*Ie4v`eKl z|33tuq+;?5z=a_|uR%t9ZfU`TNM+CGxuMceO~SvzGx+1Ngqo#1OST~%bLJYXA$o3G zy`(Y(fmT+Xm@Jjjp`_-vD)QZ51*9h^B?HzTg7ljNuW%>V5hO~J!TH~OE>L_3#>tV~ zl+?J=q9^X_qef#~9XL#Fgqu%qI=u~^DaWvl;;yZ zh_`qXO<#n8-sVUWw(A6?bZw{rR-pn3_!$L~u+vhOJO;_w{a}1{>bDomVP0Wn@@5DKohg3nnIy=l;0xDS7^~J!D0kAr%P;f^%r+YNt!9|ww#3oy;oV-6097I z15&<(6vyu#V$*ikvrbT{=0a$uF?-gamLz4tQ?FKlk_cf_?TnWpq%mPus}x=g^Z)o- z>NO8IVemy%KhsP&c14ztXyc5+oK?o=4C;v$ezu=z^LnIYq*&DMBy=6vkwxLyN+Kn~ zjc=bVtz`|PTZO<(mH`wb)lm9J3;orSSAe(-VkiOgh34~cv6mN#{X9r2EG2osFY244q5iSZN zDB{s=xR{5M9*!R{9Ws4uH|if0i_rGSnE~1w8c^<1);v3P=GEAxv!|*b)EY<W zDd`p;jd5Qt0k}E{FxVimJ^pdJzqmn%rpdT^ z&ZMF_={CP@CNiS45~CzPT+fb@d1ZN4fyM80(ZyGE#fFm<0nbkTJa&y(h1;mkPP&76 zQtkYKxl9H76i?R>>35^w`$a%jf@uy42c~W%P!NLl50J_Itn!I*-gsh6n!BTi5bGn=;~{B2m;NJcN;&WV}kKSn0N0N{%n-WD>V;?1U2w;$!(c) z7jlDmDIgQd#achYE|hAqMRN%ld=zxFr#M7N39XdH+Ci+A7lPo2ff&1*t}V=H`ZjKW(f$sQpSU;EOgK+pzl<4_+*YX6H)ATykT3j%ANkz2z>mVE# zA5=zHIru_iziF;Ve432InlWxtXk-;(R~AV5aEUpY040)ULCg96Q7!yiln2<|O# zY$rM&yGyZ15UAu7m7*i2x1R7XY1E6wyPc*da4fnFhgdh1>4mte_v$f@lYy)an){|1 z8a%NXMA2cLmpJ3hHar8mSj(mjiERCwnYm9OruS5*-|Ori#j{vBM20l=B}l;IV~poc zaGblx9I7P-kDra#p`@Nj<6^}2ur3YKsOcgiTpuW6WFmJ&oH$Bv9iji*UQMLT?TXZf zZ;{|pT0CBf6T>)03kcj)?o;|9KHvpHS;~jwuvZ?17^CQcb7$@*r@rlE+++>FZV+i5 z`j3`Iv#bO1Ka8JnYcmI!#xdw0neQO>=OHVcq{p2@YG&aB$3r%AdyvmfWZT5t)<2I> zKL$bWN7n*{Jx(m6E4eahK=dl!TaeQq{v`))COIC3%AR=Kb#e?4u}0J1hyD=3FtriY z#u04DbR3KI#P?}DVTcTrcc0!O)mI!&2SiZ*|o%EJ~I zZT=`e&6O{>ykI+wC188ViW|{da7Igm@&3XSBoZW}3E(m8lzVY%VE^cyeobQVVnW=!WWyOZTe>sekYJV3*ol|;bRc~Qy_dW!Z?BA z;rkH6D2El3@+xw$-I1n!c}yRxvr-4{yd8-=*dW9WEu)(DNX2on%qVc1(bZxQIVvaat-Kx%-4XBLaOi^XOk7tRZqOfEihhhg+(l;DOtty-@}@wWvHD4+V)15)tOM6}3OQaF zuCx^+CCYiO^Hp4xp_{a?-DHahQ$e6TJfO`MC{P4kbK#f=uZpVHXE?0{n>!ylA7t1o z->$v@N8!E+zHjDq%Do9+)@*ST+1CZb2kcX$x$!KMlj}haTW12R(ip(;Au724Der}w zbw4wL9x|6)Y9R~kgXA)oW8oCCGq>NV-#P~-|76;j`7>tA&qxrl;BRfdn(P)xt)J#U4GsPGCYJV;A`JdR<#$^$6jQj#0 z^)#G9O?3>TlOuJoA5C{!YT5I{8pq)8a6A^X?D?^cd*JVZO*ODYG(q|JQ(R6djy0AE ziQ}5^_Q_L-#Jz@3K>*U8S)jD|KemyExuEULX`zA$mw}UByn6}qcT0X=970Zbx!3PJ z_xU=E=yw{<7j)()Sq)O*M4J8$uqsA#K$*zpbTf|VMN{0WlzfsQo8u{DhMgP{lxOTC zyk%7YV{X7JCrNwl!vqrgkzlzq=y6(9Th6?~bILngYq^OIP`DWp;engZ>^gbyLat;r zqbsl<+V#;CZ)F)FvamPTDpP9=lCxlhi!zWxku@;0kPuYrM9((I(3Vj~_WJp>9uzx| z?%)J1U-J`O*x=6MRwX-M)b(CWpTYorWdO5x1#mC`qyke+XI|7p*m$~u8oZQb{MQT| zT1StFDo&5TzW9|QZr~KHsa3Kc(OJ5ooa*X6xj`wNH~-FkR{9ojX84D_}?7qg9V!X|x5(s@qE^fPSizSe zj+zFDK=XeX5Mn%dGwR$98nSU?=E0Cr=5kwVw-~n%&Y^LuN9OCQbt~vpTpb}Op=#Nw zuOPjRLH9+)h}>ir=>g@B;}cZp-B(XUc@-d>`sUIMXz3-eFfMs_V>zrvs3(&&KjL() z+Z*Yx2OT-QhA_=8r8Gm}CGOPa^oW_4r%SSdG7x zjr$cp$pPq6n8}`D%a5}+%pU1Q?zQ|d8+m{iIc)hD8#yvp9FZ#yl#(F0V27XQc7 zL=QqTAN4uPoMXD{s{^8bf36kvRxeYD_(as(s0d+E4&B}GBpp3b=!3SJDsK9uXeF6`qigR&o{_*_lsKv>4RE_D0R>AR;B?}Su!?RmNSF*0-p^@TqZ}y~>t9L9 zQuMI?hI;lGO&sps^@KOv`!ulF;oe(kVYqh>^679dhp$Zi%TfkiSEZVxQOy@8%!kB|R-!j6_d@Tp$mRx>ot_Du2UHBV1l_sK^3rUs5DGZX0eY^~fOJ!tw z0zZ9;icb8XE0gCNZU$v`Ae!153z$`>p1HI!t0HiWMFseZ6#$13&qr5Xzk3QW9vxlk zDm=L(v`_(_A6fz6aFjm8mg5Whb&Sd=Xbz79f?jO}=!r5Q=pG0fS*n<2m$KgXxS?hJ z$QDo5a|5#e%$bn&W5}nnUMCnp-B5zgkg?^mzMO9#L(BeAS^Ltf<%}vgl`xlsLTsG1 z|BtehPcz|&yX?A|agv=V$-(z%+B9fdereEDGMN6n`?-yrBfkSYqJN4A-Z@R^F5`V( z$Bi`wXJw03(tI+BPyQ1$*2^E4x&~bQ6@Skr;^&AhQp6e~BE?ShLrqd!{1fta|0J9~ z%sWA^>1M9Z3zMeD`xkE@KAon%535vM#<01XkKHfIlB-uRLcL~;!kYcbTFmUu&=@mK ze8q0ODB0&>EGO7vOHDs1Ug<<>_O6A}iN>OT=6;T~-od*(n(0O_lUfGv_6)i2P$-Mp zyiw#Gq9~avCds;r5|t=lj=l$AkB!G4y*B6VW1#f9me?Pp*=ay$>&^C^=Tfi2m9C*C z_1XuV$Jc-mTt?FkG+F};;2B^vnAyQk42*FRwjbttlOq|S>i85VxZ+0?L?SqD3IvJ8 zuTGt(;X~cr*!6Kv(B2E(-1rTw&dJS<{0QFM=%I7w(l+;z2iomyM6oNDz08%^o0cF6 zUC0{A{BlLlOvWzXm$Bk>=`D-ig%N$g+-6(WB8y~BGBc-PG`*;{nBILpA)RpmwG0Ds zSP8&IUd~g3|CA8^O!9U?Uk`lGpm9z)8hd-R@UV--0M?)2hio4ZBues2-u|PMl)U6b z-2*&Vs{*u;X$$7(Gz2vU9(PtTivNnovM|6bB@7opsPujea{cy5Eyajl0euMcsGD+D z)@3UeM*tbysE~lV@!A<1F7BPkR$Vq{nDurVYSm++$s;8LhcrNsHAo;M z%gHP5{ufz`^)nE*GKNJ)TxD&dRQMY_8B}>YZ%YmSP~WUIMf;-%JjPrqamlK zuELvitXymwRsya$31d#&qQ~8;`0J^@5sqBAj_PsN&uh!&{t3JWiHpab&R_k_>A~_V z26%%kISy9b1trDR?^bcR!Xy5UETW*u*PNb_UC!IeE}tp~*P;g&R?8}SQAx>X>|V*x z;CEyqfRg{{^l$HRo;z3#e}E&wvco3EaQQI9Vcf1k=9)1|Z2n`Mol~J7MVt3q<{&M3 zNDM$A7_JLoC{r%Zr8rhxYLsv&H4-=s)NFg+72NpU#8d1)*{RyBv%w{m@iy*zBUXt1%r$-8p<&9d5{2RJBnCu zFmn_K%sGb9xYbgXVU;-*Mw|e~{0bSQqnyIL7!O~cdNSG4aJ^!ZQTPU87sSYeqa6qa z%uE;ydwRvfS2V8=z?Icy!XSh3>&;+%rx_0pWDIS|A-8PF4UxO}85%%v+&W1 z&BoCR&BcF?_XDZigFG%21NbI%0|6M$W{ux?RU7IB*MEAIx%Ee2?1f&+)I2Bk$>^I( zdjA64Vz9Qo<5O4%wZ7o%SsyP;PSoBYs59|qtrVV1a-*|J6;^%qn;2i}J$>TY$4LX; zyF3h|r*_gbJet<|q@`)+1@yknxpA<7x4(5J1wpP8g$Ix+z6WXr^-`co2X{nQIV}a%(_X&dVn(oW_(g#=eB=0Zxd^POU-BNaLNtjn;WW z@@$Mn4FN{=n^9F8SG9hR3duA6HqsKzBS?X5`#~rpFJ&O3my`Pnm`Gqgs@StRL1jXG zNK!}6TU&`(d3hgDDf6z8J>7Pe5oyHApH=~-Jq!>}RX7btdrGq0!q*t!eH@eFsu@9? ze|!my=|fVVgArBzdVFDaAfAH*Z23N z-OPq6LOJ(MtN>Xgg4ZR^z>BM^)ys4n)_5~ANtU#)TqDHx02;FvyuVAuRTr?HS@6d} zG>t(#$GZ^e2}xtmTk*szQYKt*+BvZjAieSwu=_FU#u`4_61^2}ZXJ$rPW@pcjh6$k z#o=0ai{PclK&Gji*=Om_{~NegMe?@<^Lup@p0@zLJ6O5PZ$&k5I=yj}FDT+aH7Y^Yt6G$NS^>F8mIBGwm^&Mt?-n2)pm10z0_w!m#@`4YzrOSSD`>(y`&|pd(yE za1J#@K3v|RHLTvv8ny*7w-9rA4FQAqvL{FlK}p_Sj_+ca3((HIyFg&P7ITgUrM1%+ zS8nWJ$TQPl+e-5%-peIBurr_|gD)He*O?oS53CSlS|AOd%k={!=h^{yi|h0d{xr8z z>G`AzKQL+Xu*a~@H;AJLWN%g*nntNUxa50X1h`* zXSzCp^3io$@QLc_z9Vine{ETEJ-)N2TGX&~*h21VkZ z69e7TE#~F>`4Qxv}J|)S8RFQ=r^69cQ4?1W4(L!+D2~KuEAEn*Iya#P^KA zST83kkfn2!ta%;(HLn0lt*}MADWg)u3qJ{kD~Y}?@BOM)U0I8UHm2z$)-RJJtFsLsFyQ1Y-!a+jDmm{DpprhzT;QLGb4 z%-PC7XlV&s+{?VyrS1>%#ulgRc;$e$!AyTk9dJ&Bei{S4VykRHJ2E80K@twuOD0q3 zFP9<~2Bae&$M!5bcXmPou1B1E#u&*NK${GEfJI193_KU8fIS=_czl}_p;guNjWXJu1 z2zU!M$iD=DUGct1fwz4kJj#YUHEhzaD*QN4p?l|RQJ~j;u1w_-a1k!(f5A#GXKb8XAmN&12Gq z1`?l-hoI&ul=Sf(G76GSJ?2@3D?$qo!!yZKPei82(v;l3V%hE5ck^V24cw9eFaoyk zmXGKyO&Y6x_YCQoZ`Z#2Jfx(S-7bCi?UAT+2@(sZD7g0B1E_N0Si}Trs1O>OQ_Gsg z0jhc2a=5fL8|HA3)F!<&j_61)-G*VF^wJ&H;B-vt2L z-c~EGX!DP;R*;D|Q(w(P*x9O|-$RFpv9R=VeYa_6GmZ@i^4CCAXhL2e(|2b+3VZ3bO@>jZJ&ny@ld+K^p%)hLs@MyHn+-1v*@1W|b2;O>gdW$d|fDhg2(J-Jvw(1!*;6kS6!I-o-hEaX34q=08xR*p} z?MqtLDM0A%PpxpFtC{%iPJDe(&3_mbgS$H|DFx6{;}Jx4Qwv8koU41S_ezPnFSYDG z%!XQ^B}|mv!_`fne(uuMwBhy$kYL4D$`f3cw-fn5O+fOUlI^_Mvg%b8c}MiInq$ z4$+16@Y16Ls{h%&wKp}mQBC;q7G6=Z z{%sX)ync5oxgj(6KEHOW@MuM8?XJ0_w00XxYqttb0X;NZGy03Yw07t!V$$PL)=onV zO~$B8HGA_L0fxo==A*GPU}eMm8olN+4#;drG!q55Z}@D(*pK^zlnw+B1%}|)WUziW zxYqUvNmgsu7&5HZKH#Sst&Pnmg^!HlXl9Ms@I@WWRTpQDMg<*CL0PZ)2HPSTq9BXX zwU8bYNYA_f9RgpZ$#MY^@{>p6M@m$6NJERyyD^}VT9pp^KMfXmgZ>&QGA^v-9e$;w zegC2etp4)y07@n=*9qxYwHG%UUt}OSPfX^l+fjSMsK{jkE7~Ujf-wIB$#P z9`Mh*o-lx8)(V>K^g?70BcG@ViqroWSyx)zRcp-( z`$Oc6W8oSI2QhuK8aVS(BDosCfE`n%E}Ih+xNuwYhzYq3$fT(hhVwMDz50(kOBVAN ziP;anM3$DP5`n$`BG@WCrUfLzg{T_ycaCb?9 z=#nBLe&X(uMfi!XK6VEJmM+{ky9au9+-FAKfKhKN2kV#v!H<#T5Q`U%V2q(pIgJC_ z?NT&G1Tv{M0`R1U?%uzq=0UxBIM8VB1PQ3k8kAYM9FtA2Kp<$HMoI$GCski6L$MfC zY1{QOi$eTZ8O8R7H!SdXN3{7s6p?BljzFYwZ`&Ag^Qc5uGrDOn1xEAVHWKutz_Vyxi1QZmNHa8u}cr^;ja+Zx1C-3@5G) zB|^aryCr7|i4HnBiQ`cyo62rVBGLJxhnr+!*vET7f+7~ep9m*DHJn;H1(nXoU$3l| zhNS^WqdM8^Z}1M`CBba>>FYe{cP0N${tuGr5m|Avhxd4$i`yE^cTG7rrw&aPuVM_e z)X!fJ3OuUm019k{R!o$&ZB*d=s5G-GZZWgHRW~iPZSBl3u6+fUy|XD$$ooRBZ9e1C zLZvsCS85fkwHKJd`x>-Nrd1Ticq9yqrk|GPDGfZD9*}((?U7UNc-Wcs%p9s#h9!Ep z?rjj{dG{k=3u4<8Tz>F2il15iZmRtTW5y9Pfz9KQuSMQEqhV-lKHKW46`p~GZk3I@ zep^Wz0_rv&luHF>$R&Z%=1x@ui37gNh6&LfN~TuD_TdU+uLDz7*5H;b!w|_6=wvRu zmVC-~3#wL0&2FpDt?9WHyr2hG`bzE-B?&gD3A8M!xmNR+~_$liDO>5Jj@KI;BBgU;@xESZQy9zfq%GFvaG4+0$bCkl^Hf=)oc7Xh0# zwY+;I@)V|VT}w>K@FqGIQEj#5)s(fo^Q91DIabo4rSMn$(d;9dJ{`&)^Rp|yT0g?H zb<_oXfrJmC^JC2w;>sAJV3h8R|^tP15=#v$JvyA*~S9 zClMOEm*7|Ci{qlx;f(>lN74g~&50wUheDhWf#S*ce6d&*C`-Ds3rkTjQ(i9aBe{ii z8O>WkP#R9vfr*NEHR@%56+QIL^Qs|b9JUmi;^_6c<`&%wdxN(cD5))86VfIDr%Nge zS%wF@Q-au2K&ilViHolM{0{1%9X>8(26-238otcft??kBVi;rNHTa3HUimK0$B*)l z(&Z{1I)d?$+@b6d6&)9rq07{-=* zydFgT>~AQ+zHxq_sG9n(Jb`@J4aXLG_>J?E4N2UE=b*2?7ib5}EN3$^*#txm`o{U+ z_f^8~6%8g~vtj4dKjU~(V;pGy&U9)qh<41Rm!Ele>szq9xWj@+DE>pzGY}2dNFXZg ziN>bkbC!u0{|`(xxz$Xc#u`Y5?jR-@gCoYi8VtLG6d^t>?N1b+|Gsv=Cx==&4aVwP zpWJ9Og^i2@@E)#(@glWf_^Z@u0Ed+r#eW8(kL(7-EFXeV0f=q`agYzer~pL2fp~ww zD}_-3hyerfk`KWs3xN&X4g_TbmmfQG>5n$wL1ac)=iz#7`&|&LFZHf5^}fO{k5RTf zECJ1DrRR9bvH5uf^9p$|=cA6hK_?ZeL;T)T>&($zTFMuCx+JkiMwyuU;K;WGj~AvDyCympxIk4 zc~t2^SrHS3PQg(d2n?(lsGNQ!Ymr_LBw#3#vBa|WNNhrD0OdxTa|mOu#)7Z_K%k1o zqxGYz@d*8>ZafhEwV{RBw7+IVVTR;yXDqONoFQ8Qq3y{lgoX{w6-xLq6EsF`e-g(2 za~@1`1KZd1G&5dv7={z1Y)C3LP6?EoZ1c0?4!K~GkaWC~)TSiKPpV@!8QJx&s1tRw zyeOHXa)?6#>RqM$^5n7vS21IVl|Httz^==Y0SlR0pk^0J?$-o13lFa(+^Pv3njq;j zM2^u_y_2P?f(#_@qa=3|GS$u>h~cL}m~_7?hG4;LD1bA+#f4JnqDe`uXtT+ZwR(54 z6o&;-WR5o9$uz8|5)(jj?+Dj=6|v&MU~;3Rqb&)T?+X3Bg{*B>>CktGFoflH{Gfc8%wtI>{uLKsI$DDO&TTz~^oTRl_D}@*~VEofB^{r-XwxJd@E63{0m#5y}=pb=Hvs0<&rB4xRHqn`a zHZZp=p+^(?k&tR1OMXSuf5tQxcq0OATRfL}rQ?Y<|5DSX6v*dLt;GPqv8`lSZf$q8 zd0#gDRQm=bM>xuCeUfeuJ%^?g_lR*{iS~ zK11Vf=HU(lW=^D~*?&cwxsG7->)A9spG>^oaWrGhnwEHAEgTtPy%Av8)pZ0OD;5>% zb^<|WtJaLcv25HlRrNJ|2#pT!kZNJbc~+|u150*Zm5*svgoiWaWno@VV`K?M+h}A+ zt_JP zTDR;{be$WeDL`aEH7AqS@uFTOG;2psVH>>5{C4CbWb%r?!3LsMLw0dDn4iv}?C3*6 zUQ2vKM#2C|tm!(rYQrcEXB#TZo7HzVL8lxRW;w#8D`A>c`9%0h{Xko>R65#xU$AMF zu@C1?LVU}P#!T9%Hs>0DHk02iZ;7E(Ry8d0VHz@76v$2@rHu5 zWX57XEK%{?L{=?}hV$tYK@KuTipQJHEk?*XhvtLZPOC;e3DZv;=t|LYTd*F*c#LrQ zGh%s1pj{~3uA0Y-tVW2OGV-xfp=8KHuaxf86z9O@p_FV!l#s1a&%FfI%`yUof(fh z^T!vJn4z_5g07ZVPqM;_EKVt*e{g^-#`O0ZKnon|3;8l z`Y5&D6*9s|iFLwwYXVW=$vx;*bk$G)Dmlegqgy=Se}v`I18(ot^<9-wox%SwMZ+Ox z{ZE;*H8eab1DYs6!Qs}|v2KcvcYLsO6?_pEZQrL4=Gcw|Cw(iaE}Onh*5P}~twq*} zL7X6^H4xzq0B?IpDy)@~g8y`ZP*kV6CC}uvgz#pHMrsJ>?OdTT##ryAh?_qGhFDw8 zVofF%;T3yOu-F=OW2QJk6&OsMcD|4&?3t0S1BxY=19=UN0GNKmA4ERmP4G$+Bt7t? zVJsfL5$ZZByn+9=KMK`?Ban>FOX~lkCJRn%o&yQyUYia?B1W$o`XNL1&5Lv`BK@%l zW)Bkyg@0zA9ZjDKlM3$^h>X$5$t*JV=t#-6D9ua75Lq+8WaIAGeK9_t!)lVAqD{$z zD3R#RIx^MsxEU#tevhim+0j2U@m*Gt|xD%=W}9fa?formH&z_JFa58R~q^PKCX zZ%6t0^kMi*;)}+R)H!L1(vE+r6&IG(cmJtafOu>`5IL7-y(7%`UgZl20ZbY92N#w ztfo=alhd{QaVm!Z>_Nl-ms+@A@xrU3P1N3Up`(=aR-~lb`4{I}?hgWoi6>1|gtP;g z?cbJc_wz5>27jhY3t4ithA>epGaZ>$r9xjKKp&%q4ucL@Ik19QBwx7gV<2hrwW4I` zV5_R^lb{}^g=t>%q;5x7?gi%JrBr-JVzfB?V#l-iRqgqxwc6KV3v9Zm{1Vt&>96S` zAu$0K7GwrySU5OWnz^>4MnWdDJt$IxGMRmz6xi;SlUb&RCbNVHO=hnG!hCuTqFHOj zRnF6d3~)u8WY2ez)UD2x<@MZ`{xDEJ29mlcrX#p9|ACUzy(kEyO%@kzehtkZBM$_C zXqq(g9_-yEv8cy5N6eo`QmMFjeh%*TL#N}>SfIIveOZjiA)5dE9ri;-mV;|4Gx&nM z`<0*|6$cL(nGqK{IHNIP(z@BIuCahS^jUU~v5DL#FK#-*h2Mx?+nRYVw!r38zg3L^m#a*hRrz`I~=MTGAl z)wduvm$1t&xPbzRM}selBWEk+^|Cn;40>4#iVetUsIBxGn@*uIoxc?VUHEBGr)&n( z6=cA_8i2}G66u%mRjvzqXf(iZg6U(iOU1PLtGXwiX`4bow7X3^@sf7_D($IuX%vk| zXIfbyt#&5MNJuw3^CLSd&86~ zT!X&>X0Fv1T4pC(Bwp;~&hy~bME3n;N{K10`a4SUn`y^yxPnJG>UiN(W1#%r9Cb88 zUhRHH0OX7)ZM5;zWq&i;{5o9IkwRhk+f5%II;S*eBf&O~B-(c*lbymM zJ70xwPPZ^)%RjdI{9#l@R-ebRPFx{}T4tw?k{X)T=PfVos)qNiw+$xHl>|iOh=>Za zYXViX*1>971n+8U7wL^&EXZI@22`d;v+ih|&Wmo>8Eic37-1PyHrJPx+PASfymOHB zAGqixxMV`sw66x9;{|=G?z)zAHHs;I#E<3kLfNW={$7a%(BT+wCT z-CfbOYT!-bl%)_fd*Z=Yd5f3WmeY8yGE$F|1(tVLK0sb|gAgq53O!ujWjMGn$nc;G zgPU>B@sJ{dji*#N%AA1TM0Rvoh}{+5L@{7#v`gt>4>3e;h3K9%SZ=jP<>NWkEMupX z8n2Txd4`xBT6%DaaU*n-)7(5N(obQ|LN4K($2$cE<3*kc0PfHFcWD!Q&D20#5OB) zjy=$s)Mc_H2z*`0(S~hfSz-vh%P?!lbA|GCRIIOG6EOb4_50O#*d|lf7~jPZ8t+XD z16Ve^sL={J)Wwq-FUWXw5opP@Dq&ee7~{+W=XdIOiQf~%(8|AwOjc5j9n`JPQJ{c^ zNV4VKGk&?v><6&qV6$g<&}QHLebzym=ax*G)a+7LLpNt_KK~CIgPU;RXlJ?!9#1nv z6A19hQ~k!m#?GPE|LunUwC=8RpfSk$x05~_bnRA+N({l-SQ615cW1eWYOC|LeJNGt z+k-z1cfrv#uXV|%=YduZ0Q2ed%~!E0Bi}MRX0isi(3`_`rW(f15D{IQ4+#-gq(;#ee#HF<*O>CNxZc2E&Kgb8ohqW0rSf(ZXeij*7gL(%^NcM3dzWEm7~-pr6E&wq(Y-QV_^ zq?HO8*t?NjXh3m+9;oR?954V^sj|fec+TV7Xy$r+f-o}2`W-MTtc{}(qq!1mFmz@bR_m2+`L0gn*zoVP8-$uvb*t1KYJfWAf!q8x|JJD75ya@|t z9{dxpY!0zc$x9Ny zmKu}Ls0rf{)Z3EzMSSNef`|#uQ8@L8$8jWCIFn-to_G5Tx8dRl=~wWQFCODO@$iY@ zLSvO%_hjI_R0A(-HBSbXoJ7DIe+gbOl1aL>%+t3lHuwL1ok2;#Dh+^wOQy3mA=l@@ zBzb-%QxCA90~h*D2eZp~8<$h?LLu5be7Xw9df70nj6b1{eJ2iUY#OXQS+@s$yC_UN z&iDwTbu~NZ=M)ud7N%bbLYbuG99C%Qd3uh^<5o!Tdg{%owpkJCCq0$J)_iRhtF3aP%Ld1-_sJfA)p(EQ>d6SOL4_}ixo)?OsQO9+2=H14}XKfwRSQIIFoyn{ij_Xja4AqFE0W{}e>{E*Wt z{Ls@Y9r!|7%&pd!5rt>O90V!*uu{;i;HJH={jJqen4**z& z2chB)*Mz#DFhw4tH35H(JmeaQ7%D2)NbsW?Pr4eZhy$k}QO-zM6as5l04*+r1SA~` z%w!gpLs+DDD8;YnM4uF|qT^7};$c1)!m><><)|od+LQCNs#VHya-#pX&aEOuCnwr( zBc;9*jwe-?&9(sVW4#Ge6IK z6)#I}@&NWAtcLHdW`RLtHh&rk)ro#6;nSM1FeqYCkE57? zu__asl`<}~3k7-i2asZjjXDTZ{4->Qz3Etw0?5d+N8vWk7p(p!{Wm@i6O6GC`x7Ar zn(`?rLzkj$$yVbP#(Dt=jU4)HT&mSrRDymQ(3jGXRoGU6HEN1dXM)Fij5O8W0y&6& z@)e+PdHA7hP3@pbf59FjcU>4fw)IKm(w zR!bvBZ=^~N(g}`{6$M#M+6~mU@LeG+UO%V=hC!3K?9Lf(2uYJqYA}kd8 ze17o8SMh_;x|)GBTvUK%Mw+-5KZfm5{BmPbg^&AQMWe;JRtG6Yn4fVsco|<5E@ZH^!Dm$j#@nVomzeZi!nAv<{N;v0 zy#vGPrfox8doXM)7qvujz-sD2`ViBwjXZ;`qSQ|Qtaoi z4CgPc(3VUEO%W*uN&J~1?LNA4J4w)T?IkLF;)1i|Vnq(eH2rj}KuOnxOS#Mn)UmiM zGj%^f>{J^tJ#0uiXKV7;6O6GJbaw0~7lZ5?gjmEM87B~ZYIHZOeJkwD{kVU^)FG3c zi0%u1!vJjLeSOB3*K%ZlYe+s>5`|8@l_mG<1r z#GOOI>kK*G9BJN4PaK>InPIh#r>l-+ni=X$kfDyym`O4~FB@UK)>$Lf1!CU^Wth_K z*Io6)2tyq$6}0#h0Y!rfpk|UCT$`Ty`Y2HREpj*B)xvIX6KKF ze(+I`2bm;?bg6-38%LxDtm`kk35Tw~@_{ZN&!?e*$V+H=cn!mkyxX@11c>XebSmYp zzob%Je*;M9`U@tJn!NjLqjCN1MQjgTe+3bjE0K8Yk2w$~j+}*`wP72~g;c)L_OV6? zofx5$<}J|K?96%3+hOuaz_M9}$q$n4R#`!VlcT~GZVj^0wE3XW;2Uxx5UVrr8hmA1 z`PX1_a%D=QLf(TKk4I&^5`jnZeW4$NC~$~weiRu2?j)D(##8O1u^ z)cb@PKrB=T+6ihT1z6_Wbx*G&pu=POEubzu6O@MVK}{+Bv$8r{#Q+t4l*_?1_)UP2 zjQmBFLhfr1N{alIix;f>+FHLhu%j|r&ayi2iN)WFCuJelb~k2~@*Jf>xvRzDjvFa} zS#=*fBU?2UA|h3piZJV_DB4LySMHCw8ce^(R!yVuqRkt97QnrW_;cjCg>5C2lcQ`r zX1CTNF3e?XRN2u`pErx+0P;h*oX zLD_|y>0&Wt4|2mF0dj?an9gEnqP^oV^7M9~&B$LmBmEO%i>~BBgs|v{bTHAeh2xSJ zDN^*HOpHPP*%o5ll#t8UWO)Q#C10%d1XQN+JJF3^;2TOeMh5#qxg{=>r9Na@Njrx* zxUWTaXZlRp|3($^Y9nq?EYG_oVHUn*t&^(9`>bOQ!(kSkfEdjpjyVj%F{eKzSg=hPp9lgMK0PA^g^;?h~}1g0Zg zPEw2QZ}Q5lwh2`0)F@Ekb9Ri#N-ixqWy^-HE@4cR(M$ z?)dNET~BOkqVl+;v9MOe9(Q$32HmoofID*ZAzXp}%g)!TIlM&mQyrBG(AihxMGdo2 z<12b9WS5kH!$X*=$}#O>v-y}t*eJpDjw!M_glS|srcpMVk7-XEC71?K)k&=Cfd1`t z_d3P|-^s-LC&l@b=paW$*17UG+KW0_0c`AJFi-(8NsqJXW<)0We3`<$j{@| zcpxxwU@5x14$9PvGfW3l{J4O4 z8ej*Ca?JDF_;m}q#2W9*#A>F;d!y-Q1x0x5^!TQxr6>V8`x4p2corik2cC5g0YRYl zTtw+J-JcR5&qrdga!EJLZ%tVm>xG0$`N3tO@{Xd$0Xx$S&3w`}nm(P~T6_~!@*wZ6 zm15}A`Yk>OY6)0zJe^=yulobeGTsT0+_rbUlkk1#mJ`m1U z0F0?zra&_T>U13>VxMf!$jfE?B1+t`Y>aIXOS~y}?Acqu&?F!`lLZ9kXfSo0L z`DCFTAf*yKv3AMSvbg2f9vp~M_bLx@^q~)7%5+TtKvbb6UMvwy^r3jM!`*|+r@kz* zpRH@DNnTp~q^1rVJat4cOZ1_+#ncvL%cbI5q0(Dt#Gi_;{u1^91Zr};cTgi*=J7-e z#qMueHy^jr7TJN{j^c3sWz#XOukZuWb+~Jx(IJbD_|I=VjE&O7DOX_p;P8q;m2jnS z1@f78XW{&Ia!6|6bJ0~?(g?Malb-O~$;a_++lhy|D>9-mMjJ*TuDbAnDn%e}bm5J% zxKV{)m&NU6ABPU)9a;Ec8Qh+QZxYHGVsD4|J`0UudTWSj5mDb|PTjZ#?2cu6>4w z{*s7pdc1RBSaFKe`OTm9MCys^^Rzld zJs8P-#j}-YJlV#nw1Y{tzS3|vgU4vKIP_{74XS%ddQY{CqeScC!p%el@-W}zcwa%U znFRwdyh(8I`?U_X2#jl6-3M{p6csxTz)T;;^lPYPU}N5W81?Z9xEG=6k2k?@GTu9! z#SS;QDkRq=o9iy%^mDC2Xo1aE$!r_|_aOmz6_I1jM@a|2 zrWt;$kV<6eI4;hZR<7rX42E0W1%qVtW~iY=Xg8__v%&?G#^`d&p( zs-p%MnE=*UiniLA{RAGT33JYY%BH;mds)Yiv4+s;?o%8c2#xi$&&7&EYT4_RxE=+^ zw0S)W&NZVEVKO}+N8GS*^P1Dcy9Gc~+oLaUI^bCVB%(iJlikurhxWeC!28h}kKc`h38w)cH=HiW}7=%I<&?-);KaBk( zX{%C6yuCJOPOlRkGS*MjjxtW2k+Cz{QjtJfY7>!>@aGgn35aq-subiWAdv5U-?jI- z=l-NWfKs&2liah<-fOMB_u7B&UT2+s;AM_-(%BqjrVTzLqk_YgL*abH!v@rWyHvi# zo@q@(x*NX1O0aVufYQz%QkVl9e|ySCt{G8p*4MkVc}YiFaG4lQ6VZCs$W|XE*f}8WOI7u9eSBckSFv({a$Z zM6J7VkuJRpaS3u~;kOwNdUVOPG6`OAyg6$XgS*6~FM-cH0$ds4C5{l%*W-f6 z8u(4F*_Ff>tX`U^!gCI$CUZlFkkTafnnZUVd9N!$Ev5w+@>q~`&|8N)yqk%epm!;M zv+YcPMUZ6hLKd}7;K$u8yJI9CV$Ktq^aoxljh1kon+XZV+F1=UM9wQ?MZdIIF{LeC z7x=dE&JLdemAhNWY_lw-0Iror%=G&Ooi3UDVc=X+6f*@eOD%>K#@z~B^hyiZ7)IM8 zwv(X&9U2Oa)4-0*G;?|9fREZCA+AI{q>swC7I!_aHOP30b0~NVcr*t!N?vl!Urixm z?KSR=H6~;hFL+1^v<-b>)nz?1sw9o-Oup8qeUJ8(>y)D;!(`34Dm$%4#PXgZmqbBG9!GH-qm(;Ww9(>}%${dY zq++$giP>aul|r3fuG{Q-t1ZVAx z;Xocwowc4>|3C!n6Ick7*{3mVM{ClVD=Df9SMqw@!g+roZkNq3mx<3%G38BO*H|*N z2&$jJ0!3Y_7&^Rt&er5*e!>1H&(a{_@G><8u7Et-z1jKm_C7k?Pmz51vJZx$%jA>r zd@_a&VA!76MciQBRa-wXZ%>SOT}d)Nu;}Vz=oy4Ay}g_?>}4u;&{Hi3?m##SDZRS} zM}}BtDjlusIcmgAZHQ;NlUq<03y~n zxio`InF|UM+?4+U+b-LKa^Mtk{KAZn4QrLC@kL$49G?8#w@^K>vD82zxi)+>%2gqA zB}tN_Cm}|KD^gR%$S$#PKiehwPb0Lv-@?@6vgt-a?N$^}9*L2SQb5go*~XR9;;2+t zR0L+J-s%~+Tf#dWUdvj2_9&FXQ{+}W6HOLwTK2QV_WUQu*g|`lN@hGk1-oIlCt3+K z+9~W)@KRuzmY-cdFao?K`TLT=_GUx?J_3`Lwg5^%Wgvl0^LBzMZb&_W^VLW# zX1ku~{meyVvhf6onz za$=~0$!OveT$XawE9r7u?wM?#*6UTFDh;QZ=a@ns;lhmwPDum=J|`7Oc&zau`D!ZIYyR@dmNc zDADH7?Hpp+bz*fqdS7H9dj;ZRi#?mw7W?%GVSVR)_B;4R1s1X%{-{7QVpe4wCS}}c zv1cH**oz3~I+GELBQCMU{xe$a%c~VCKUAuLl`?O#s7{n&b$a;WLY?FeALgvy>d)au zS7@rl?Gt_r4yX9`V^N<)ES8_3NS9pw5{z9A1*W(Y1Pu}V%kPjIHs+DWdk{fHumhw* z1kyba0eOiCc#lf+v9}OGiOjijG!h~ZWrPUkF>gZzVyTD-#L^()m=djd)In{vQqWwS z@G%=C3lT6NMDTrMSc059A}C=NA|M%icvl}o5h5rd4SShth=4hGL_q081o6@d5!A4P zSh{#IMDTj(5>q;uxlUWMt07fjh=B3d@>}8C$~hkqL6ltbC{m3#jFahS^(3ONQA@L^v!^qI=}eN~4mz5DW0&a`X$Aap73aG*Xe1_vxca9{<~Dzulufsz6m93YE>0}MuRpoAEc z4wD@mV3vXdB~%4CKnke7Mwj?lIyMj-P5gP^PG-@5zpt8W81VrL;UGb$ zz_k=my7ym460Py; z8FG%dp$7&V3Xw5jpja_&wsc(@e182F>2e>$9nO|x`2-9KISv_}E(Q#C6vYr23|mYO zFIWR{!AtCsEZ#du)FoX~wAMdlb z;TMeLa1D!dCmC0zIG`Qe2of+5g9IXSFVbUm1b++?{L=RSv084Xl9i;9YkKyHvtg0N} zz1(Whf!P{#SbZM^V2MBkp@URz&_Oyj=rGJ&3_3KkBj_+(>}OCK4;@HtF?%7C3qp~2n}^m zyq^f78R>L&AI_K~|6Bw)wA9#$hQt{rQCT(UT~c;Gn7Dlu#AWj0#n0c(-;6%_uz@-!VOnT*ZeKX59Gs-Ia_RbC5ZT zvj~a-c9~~)3+*nAJC9`ULzG7{d1^7clfPfxMbhY?kv8$`kOe4kq1`dE49_Tyt4VfI zfeLT0xMvwl3Xw59qgXL5wRBw?e10n|QkBq`Em&v+Gf{SU0iQ473&yw?@-uk=7`=?o z3M04f=mt<#!^_o4?<8_-z*1u3-%v7BM%443a_sKW*OVaTAG3Wf~wdeCxg!H~h| zw(F63WT&8VlB-`W z#o_fkymId_%lI#l11%I@o4-Q@G0PK}nMPj*%Y^ir&RjIac}i4sQ=QBH1`kvtIdfC} z%lK(mrFxLUFGunT1s;8t!ISl#xFOXUWG9=J*V%&!d|8hkyNV5anYLR@aCYJgXR9s#>g48H0vcIDJMpbV(ZAcmAGh`|#l zWSY1S!u*-!JS)=U3zFLDkuiKRv4S?>iB_hM0)Q;KIpyQ2yN4C5Q07V|Cgn{O*HT;` zQX0t&M=&HDabuSx3r8H&B|(NGiu4_q6!wxCnw{p#VG&d%3*&XBLq|Bi)ZLPweNY9r zzNaS^g+b;HdfJ}9@otXeLPF^j(m8D7Z+A4^l7VLN(16-r-W(qX7|Gwgvt&Gd4G{nZ z$Hi;P4$evu2t0&3?`HNo^_OgF&R!#@#GgiBvc}kfpkWDaa0mBLSHrgW!fW^rb$08D95>2(9_@$B1=+U89^`Vi9@DcleuI zk6$=DT!G7n(&1#e^g&}|v-{Jz>=0tH%np(U=Z4}E_K_jXkr``0C1J6V+)#9>V2gVn z`ip9nZ6wd~7Zoh>8vN$+65lwu+jQ&-7VHe>=R^E0*ohacccEHiO>$1;(__4j9`oU- zJyo?D>tiW5?!qPL-O1lv9>1v7eYnJHl~=9$Sr`agit_}WxrSWH+;?TJArq=*QhOI& zt2+t@$XS9rtUtI3dJj{WIR(8n2nX4zq|Iebes(GEU_<2v?f`bj$TC2qG+~p@0#yOf zbQdCHfJU)m>alcP8hn0hEz;$_4tJRJ7$*ZwA;nT-j5}|jA*07SF#`>wBLj`Na~q{? zJV&Ign1SXQOevT$7|k`A*J$r>B+{_mA%|zo=5dD)fRY?V7gh&@_OB(KI?ER15Js{x!GZ+&_P2YJ@!#QagSrfQig!&pdpq93CC^@f)Llwi*2hE z#Ak{SgH0WOd-=OtG-Ui3I*sbFQ5$$nWCj|Fk%5K*VWQU~fZUmvH_()nMC&}s*#Eow z7!o+5w?_$S*vnK*`0(~%4&FdR=|oA4^ITlrbL(Oe2AA4y^OZ)=llVwo)TbF}7;iZF zH@83{PUJ)cpp*3?Lr>DN8E7^$mp}mOn-GtkEFxf*$FB!aq_&tCfZCHz%|Jsj1p^JM z>XDSRN-#wdGtj6;g;s`cy*`Q}yToF^ip<_X!?1uATtpbw%3Ib96{TW}4FYG$k#7s*b4yZU{sM8jAN5K{O*>sqRyY zq!I(oddjd74T%XZ#;#c!vP+nT?uShu&tf^qv!3HDsVEp^7kFuL@OZc>l-W%Rr;_XnaeI z>1pHv!j-QQuH@hP(;@?n!!9)_2j_UhF15Jx*ySYX3g0;wc9}!HWl!esSLfk3>ZI8^ z@n{;AtW6@F{7Fba!pI3$rY@p^ie1 z3yn?}LokbqVu)atSWGQ1cn*mR>`sv^-W!5h!4Tfy$Hfo~Gb}Vtm8zTc07JaM zGY%J+ZK%qE*@hB4lzB_xSrem^Yi=|D6N(9Y$>)}S$W#R}K;L`|Vl%ph=T1D=wS2=I{101rbE;3*-6Q#(=(@Gu7t zcqpBKhjG5D0z7R<@DT=h-v1L{X>?DCcN)fO0%8L^jJIy|=#L>FBSHcmsoVgMbZmfU z33CYqc>WvWamXghfzL$Y*8?6>TTC4ADF2Cd+A6~u#S{P!s~Q0w-VpGR!~l;Z+G50@ zL6h>xF0mNkA+rZO412)Cume2h0=!ZSS%tl1aDc~^(&7Yotf*j{fns6Uw($~;eMUwe zFrpCP$#o;%Pik&Yygh&6|B$sP&4V5jK9H})TIS}4Jy0GiVb-u7>QIWHj+(n@pwAgB z8R)M?go8RIT0;Kot&+UZ1oefdQL2fj;p^0QI1P`qKN8U3jo{!f`-*lXR>GVTn=-Ngb zQ1ljH*UmhaZ5X=dj8n5b;~1CT8M@@^aDh?iI9+nJjKn@hY1u{bJDz0g5@4W5M>m=! zMnf^7JLyj;Tt;~0IR5R~P`?ZF+0Y>PEq|Rz4NC!~$Z)chAu$iR=(U*S9|c1p1vY*$ zFy+aj6xuX*AVV};Dvu9sKp5Ug(#v@xQw*e7NsHjiC>|VT`dIf#G`H$eYnZrNFDVUq zn6e#2g|wGJrHw<$HGiW;T^s`hMgwD3O6zk5RLFCY|4p_NI!(M=nS(eqKJX_gAMZg##f zTk*WS4v)<7-lDN;@enuHNxTcoM`gUP8o@W0(GMx)@MZ5eazT!>m_eB8jPM5U3wdwn z>olY>yupxWCK^~uiU~x4R7^~G2E}~*GpJ62jd;xjHdtXV!zQGMx3b5H5c82GOUDgT ztpgi~w;s{G1p)vz5J9jZ6&u(PlRcZlYnwtEX|`@Z6p2|4{}pC?xD@%~NO!{**MSu8 z@LN$R2JQ*N)#;f-a8Ecq5(UfxY@{qRD|-%Kwvfv7m?MR{;~`~Fa`csW7SZDY0_#?! z;&j)_9;x*XUxq?5toS`p>QPQEZ>d0u_Py0U8Q{AlM%?OZjA}1yF3tj2{iNm(E2uq? ziUwiyKx*!f*d`OtF%L4`#^>(H9@$1Q)*>DyP(jO2^RXCj$Mu(tx0fOU*x&?T>X-)X z;TpPVVkB>DEGjYbWdlNJ1B2{)L61F*S7Y zo53~YJA|zR6AE&^EHjyv`$n>fbv_iCm1_@Qh9@P66kgREdmCEMnef&&-in?-+>8USP$t9;>~-ZY!J7?Lp)cnL98`!I|gy(CwTXq8+(FRbM0i*ggA*CH9HZ6Lo60t<(2)bK@q5;1APIggDC+(a_w z`7PBHK%$NdLyO59%J0~MEoYZiH-6e2Ga5Qh(VTb@fzJ7(y zlv#P>A(!&4b)1AHpCXX3^!WifR`bqK)~y!5i|0b5A*d?x8J@$jEB${MW--VWb~2w_ zkK%A2^zgorf_1>Xr0omKI-@3d+8gx9r-?F=N5FX&{Cu@r{4q(4(>(0tj~m zL51U$f6cbfr0~TBip}7-j>gpRvYRDP6?6)Arv_NOS5@b7KA(1VQhA2)b-?83%i zsQx$*g{0}w*8yBCCF-jt85>B^=PogtmP8ySa=|U(UJGG9a~krCZi&qh&f%#nUylvOjHkHvY^>9zw-%QmHx<9wU)1Q5JB&%d{=C4e-R|t-G@{5T zYbwP)jEkwvn1%~XzVCXI%`aceJ`j_@162?qmbsF{in=fDTD19?IxhG5lp`?2;ogFb zbesVLoY?T_lX+{KqQaq$EV6$&`W*p`nWSLiv#;0!|^gOs+op&_cW$ za;_j84CwTkV?lvu+*DN9Ywg@?{${<>Ss*Vcq_KNodaeOjz&A*76ZFo(FV1UK_+j`PCa}P9v%J7B*6tV?^%q}BrKx#l6sXdn_lBHRh;*#fhhoJv$I^9a@cGTN zNSAvWcQBW;eAXeDD&{t$)5T2h3yWfCdS7HQHN4o#4>%4ylC^}GhK5*W%dkA_ahlarPP!&=@T7h>h)SMTAW&8DV)_X5fRGth7K%)+eg)Q4#7Vx#-&9<3b(KmGjOqEV4UOgZcXxHLTz#C2>7BF^Yi=n z%p{Mz%p{M1ndB{Z=H<7@l2j;(w#W-X#$nXe#}F~OPMjzqwR2{G%He~+YI&19rOPC5 znORXWZRFBO@O8Ic@{Ql~l}0}mp=-1THMs4P8E?Df5B@g|Bo9=hW}>};SBX9E#k(V(-F7ipJwGIn4*tScjA0Ivhc~cmA;KkHo_rsy{SoA z;c>LI#Z^=BW~EoKg5Ab#wEasXCnKv$R(i6Vm0p6(O1~kNZM=J#Pr?$W#-r(zKo)hX zu095}`KC`o2{9U%$>>cdxN2w%UP2XZ!QHVv6^8rKr^`yOLPz6WVoXo)7ou_>!Y;5B z8Et0y@fBjE0}J)rU9H^>;?5(ZMTqjq=tBqtGmt2>vqSJfT5;O(A$Voa?ig8yjFcu! zFkGN2AftRCGKP#4E2dqRu1kZ@Z_FZ9d^oRQIf9vs_wY0^w;48L6m}Gsp6)sH+0iJWzRL z#Eg<_eqtEH*f(xA4XCOyWc2y}LLoD153a{dj$p($IG(c~W7RAL)lDNCe3=JvF=WJ+ zHe}R}P$-t@BrS4)k&30JK?G6IuRx<`08AhXBCppvYRYaY6jUN}t`1fa3X;eO1u0WQ zL1L+hg2d7w;n7)R?6huDQVLSYTKyOVaiaDd16wGP!Iz` zL7k>!=H*dPNlAo)NMq!9x-S{0w$0vkH zuqVP}xGUKzZc5k_tpt`2d7&OPIyT}giw*ZL|69p$??!|}JtewA30QTMj~AjI_o^&w z=_i6{t~ycOhtu!KB}J&GnlfxeL*gQnsH_^?ktIYuiZ|4w;GR*>hmchz)I)YdJrZQ7 zXGtvELc?=d*CF#drpBX*ddT8X4}%fvDIrExwx?oym+ZD>6kNB_A=pfbsRi4UOp~XuzRA_!v9C@RvhjJ! z*h!nzKY*eXc`a4FJn~v$cMf@V;?5(lZkFEJq}H$rb;uvGkO2D#H|`_=X_BL}Hp-Bf z(%7quPALh!O=?{kEBl#Z#kAhib!qVVZLmm}`)1sQc3`8BS0TrKqtnHZ*I-c$k=KyL zbn*g3flFv-IU0&%vMY+6?p7}F}O?B zQLWI(lv+So-$c2QV>L5&`f=xZ(3rhJ8Ynt@0xsx?#9MNOR`HCM0QbSZgiFI!A%^fod5<1S6}fGYFS+_^rP#OPG5&eS79Ys})E!MUI;hE%4@);$_ZN%>~{hG6HWRFz_apir`Hw4fgp` zolJ+4?O@4lZdK4uslj`GXrr)~zq%_#^Ht?+oZHMwySf{AI}{CLl}2}$ zc-Lq!heL{iH^v)y`})@*02>`d5WKCUJZl>ObIcNmvnKPsdOayjmO1;WEi^CGLO$q+ zOa|{R=0h9Z`|~(VjKM>3I(SG{gNIM9V;BDpYHH{Ed3Y#lSi(tZ-%(FyGb&-PatL7* zQvM<|W&EdPZICSfRyny0Lp`J%JrzY%Pb({w#9E>LZa0M*#aEssHx65+`H6eew**^R z$pUO;BS)~+T0CWwh3)xi-(VZYXW2+_0k&=<(epgd z?jg&S7*;6BS{B#lQP}|1ar_3DwG$dvtRFalzv^sW^Ld*Og>(XqdqW4VMt3k1*MxVP+{bTtZbq!zxsz`SPf@3JohgnlNK} z3bH3rxjQS^0B0drl%tN#gJ+<2=aA?;+<7GWeGqsgx|!;;v*o-~u6G=j0P_t+%cLow zHdCC=AuF{G$HlBSxJju+aPtdh=(DPp82VHmWxN3ySm{!m zJ3nAj@MEG&X`$NivllIP37CI28Pcz+!&p%V;VzC^+#2{|0{(m&xhJ{_)@s>Mi$<5 z5XX+c0X5hMBale-C0CDW=GKRF);oCkoi9Ny;i2h&ApaFa5FXwLS{*6F1i-_K27NPN z7G+=4sWOAeMWW`WHtSRwiSdvSNy3BtXvQEG$hed5zxq6}v4S-0g;W)EK20|Z)X5^(;I&X!qg;BIR#m@=ug zM0V;V&~GyPLxCnLT<-if8z2az;~G%IZU?gCkw!Z%u2#qnFd1}5)To8b4H&Lwdb-)f zPGH9h>emA|kVag9V68-L@45Kv=e45&@qEqjI7qCOu;iw#j4UiQ&M~Ngm|oJ66v^`x1Nqax42tJ86YWm=ampV}>(La;tFv~Z z*&!InzwMvUL*f(78xa8)=rcX;UAv0lPj4+ zj+ss5LpM#%Ao#ah8sI_u=czgmZ{*}>fW{^5y%F#v3hLTMU&GkDO*7angFfvpgN?FH z1-%4bLCzT{EXiZnI`oHh=$#FD7r`Ten;A;?Zzt8-oh~@C17hVsXxaF)AsvzBV{gLT z&P;5}8=ZUTnC6&BVM92$u>e_?6lXQz7hJPQ5WNQ^^8EwOseCd9Y7*FMICnLAD=Yx$ z8e)k-IZ7;oayJNyIn=sOP>!OKYi=)wLC~g(8iR6wz(}8HBqup7*txu(kx=d_h$fUP ze*r6@)+3U^S#)aG7?vZOVL6fs%P|nca-t~5az_IXvyN~wIY@q&OvT`ioFRDF9?>awuXsjGtTRoKE?`!F(43jn9)rD z!kB6xjG;;(jPVA-D6$BIMMbCt!oCK@INQ-~K-ej3p!q);2)l-@C(D7^590U-?Y|cw z>{F636`H^^9sK%px*6U}5cZbc6apYD(^mso6QU|6?ggqMnV~9%JgQPGhCERf!+S(k zBq~BxjCkHq)oK68VYfTnGe(N%G$-s%`u5K=|B_)}hN^xWlnnX15V1Q{Rf7k!6V1gL zH9n`-k&nlp&laPq{R9I|n=t_fa^}f8bOvA`LjVo~-Nxm?OlJ`WB3R&{O>S7GB%3k6Gfy4fKOuXf6CZn3LL7gmLs~N-VooiDifA6W&!^op-rs zw5huqx8j{tMws0wbr-)rdBG5W*XlKbEl9=7AiNB_PpbUn)zSd+jNZ_(Z))zc%7Hn0 zO>3z^Ha!9eHm*aJ@Cc2+xwZI>A5F*u7sIR(mOSyICh!Q3Y}soRCNm5%_=WWazg)5h z^b`&OglI&t(2xs3HggBqVn4&3gNj_H@gW7q3GVRT>}58QTQm6ikvSS2$Gp)I62b;k zA%#us0FmWdK%MYrLn4ca2YE{ogtdUd6$r92Fw1meH_24CDTU*CZad4+Z!@{RDD$k?Nd}ecB!lS zJKS6RpM(W8bIrNg@V zD1!Z={hz$vaaMA#dYQ9jvh#3`P#jzx3I@Sf!zdc(VgW&@dU!6y6>&W*{9TrXwkf_A zZ+9)-fk`52)lt5gUB^5cMa=hz-2wY9PZrZv9&gueUH)n(FPWW;wke20q9i0gw^M!4 zdKK(7mKWUhkW2Ej??g#`iI$h<#mBGA>*DiA8VhtC)PF6@=!9esEhM(TaUvnf?5_pL zi&#HZ6b}a<++M-RNzjOI+M1 zGqL9L;zS)i=MfW(8$a%Xey%FK@FgnEGHHs<@F{1EuO8b?)V=Rl>pG32K}4hxd}>?W`yUZpA@BljV5ocxYoH%7Ypc%$CF zKHhKluD7=`vy6&3In&4aeNr-egY_%T?XGs8+oZVS4$hvbZo%SXI24Y;(0h$I?U2QU zBRs3vs7#hG1W!ELzf3iYGzDeDbB+;sNko@;i+=f4@eaajj_`P-61maNzl{Qla?$g) zUd6i!zD`jF&f-%*e;FgvNwreSNaWw5iGuw2_% z#g6>T&!|;ghSeA-s$5jnD8Xi`LN%=G#A{UEb=1PX>(KepeNv;sfI8dCAr8xG=+Wc>d`tMYCwzOt(Q){auvKjiFNQhx5#N4!g=T6ez=?}Z<$l%%z8zVH z@*Tjp`#Zkg*_ucO7rc2OsoW|-jLg` zSQ!-%JF)SWkQcs^e_B+V9@y$?qC%uf5>!{RW-0Z#`*30n3M$KHIA=DfYZvB!Vx0FHeT*l8cCj(3pf z5yuW6`r^j1b!FxMi@~wq`!GiNKH}K_7w35)aO_{R0Fhz%g~PG`xkTmoQ{ve92S+$I zv$N*fI>5*4h#~Xa4#F68XR=0TsMf@fSbeyv_=r_^0%)+{E_grC)nd%NBjgehwADs; zaEQfH%5z%VYIGeyhP25dYG587KjS$z%W(en3(~=_afoIcA94hJcJj?eJ4{E%rv$n0 z!%+qX-4I?Vdu}nekKK$b4WBjs81KFJjv4#WLT~X)} zgk*jVHnve{(7R9lI5WFV zxqAjFoO^~N7I04>8c%WX>)kWfkucN8OTj&30`AG;<}YABIecB|9-uyE?ipm?t$PMV zu$R+4!y19^8R~~_pUdt;JgvAQM+B%GUzsYqhl8GdfvI z9QYvjjBegEidgO$MR~HAuJYbJLv0tiXE;%G&sYyZ-aW&56`!h!V6G)P_YCVpbk8Wt zi|!fBD{{}UUPArHg*f*N6GHclib5jyj5w<|U$0}8adNsY?$8XqBXmJR;7jQ^v(3`o z9n}liZ`Chy&saZ^xH~_8{abI(X1&D=9o_-F{2dxoiw?isFW>7GIPk$Z+pW$qb@cU$9X(7>mI z8QU1a=lyV_-VXPSLccBTij{l2bI)KA;hv#|ATnRKF%wri@19X4l_EPyG?9CTBsAE; z7>#NJxo5xmI&j1^zE=9SRdxlFU zzw?wFd0K*%P~|&^iqT-gBAH@>&jxw1n&Tq(3`K`VtE%){5k!aYQsYj~*cou{849}U z*)w(u@$MPo$9t5BD!6AbJB%C&EmF+PvhsV_?+T{6s9@_Q!|LeTr=oj?7#)Fg&lob+ z4Y-(l25ID;A!2jSuvF)s;o>Ku8C|@&XSjH}XSjHC&rrP2G;+@%iSG!xHo9jh#@sWm zIUkAmd@^Y0VlhNoxo40@I*+rPd&W28JQ+zNZzp+q5|5ir%iJ>@0o^mG#-w-8aPid` zGAxJOGZbg;8AS!qO!v1eK$Ib2ziM7(6^kX&_XSagb|4bY z#$r-sUuPBt9wan;zy~U#1zv3g~=O^OQM(62}SVV1uhZp*wGxq|NA8+w+w`5Rf;t*-m(4NVaqZd)Vl zt2cCkOEtxSWQE!3I8GH;32v*a$B3P`h}8mcTP^+Ey`d#9g4?dslzKy3ui`8zf?{uI zE76|4p+z^5H?#?Pp1q+Tc|@Sc^lh5<3f0U4xNX%hg4^@hJe9nmKYBJ3T=RKxqAv4> z{^42Z^)a|DD$O%;JdC`dIcWFn4b4F?u{X4-j%!IZOTPEs&?iAGzT=EvH%7Ypc;n%A zq2HEvMfO|874_RyV{hpB@8%#<|0c$Q+1SBtj@*J9u~(Pgygw<<^FmnJht5!|MALVJjn6;1 zH+0&{5t|e}+;+`RTo?C--og7l!R-Xwpg||QmBQ_6!_A{}9DWv>oWm)NR&fK}iW9_* zgKv+x!ax59&O_98WB`l$2mJPV!OK)h}=h;`1W^b zB`g-VUJHVmpq!-#Hu0CY6oYh82{&#a{Q;YJ>2oFG{!Xc8f>##XnCPsV&znvBH@}W| z5OxU<(&O1h_Up|ogWn3Q<;_Gk@!NkR!D4tZ+Qg@omEVJODhX|6gMc7iUE3MdUHeo7 z>0~n3&>Bwp!|wruc)@^0MB}Wc-v5Ms zE%9e+5dYOLgm|7WgZO*@Rtrh7xR~t+%9ZH`So_~kDaP8OD%fZb7{r0Ki%hHRna-S7 z`FM~#hw}4n5dYMj@eaZQd8{4J?pYYbKm2nEZlfjd#b^-!wkal7evh?_TJ6u#Ab#>( zwAnry#7~U#ybuQQ>)xuV=><24zs1TCn-o3PR=;V`i(r2wgSfzRi9x&&SBi@x7nBl% z`0D?S8N`QpR#2cXS*PJpjkA&M8|d)SMz|GEgK%jMawp?bZXI8USZqdT5cT7zTf3Wu zJNu%#eO@-yr`GKorSQi95#0trPto9>BYI{KWZUtZ>Eka}?91$nY?6+GSSFMXrnH!r zh-n3W{r5~SQJ9$o*-rcp=+lk{<-2ijA$~T5Ar!*NYcuJ}1Sb_qI^~)-3-8TKWs%V_ zdz4~{=$NO`@!sf`Dup?grmR4UKe}~789s2t60!3TStzdP>Aksz7r0c`5-!CRaXHuU(k%3OabF9V z!PV08RaweXc-Qb1ylE7%T*Hg3C z57AepC@;E(Gq1=s+5c;ZA6cV|H7v=9xHtC_bFy|PhH+$lqzC{2@ z=~e29sDZm98O-2T{UTo#R=lFP>)yl!FTiCdYMX|Trbs~Z^hHw^ZjE?&2qb*2SA^xQnN2xQjQ}aK-yfBiC?}xZJSt&^25! z<{JJle}zOmrveRqRr*PbHpj~o2ubI0c5@Byj`L(hN#3R8HP>)zsnDtY$$gH1uHho^ zuHi18uHh_)T*DP-uHi)mkZX873lL>UGq`GQg^nlKOjW3cxrWCDmUkVsuISaiyx)_rN{sgLp^?9><1r%ysD|t2P!hUgqkv>G z+cqtc=n{Z^22)w`^ItIxJBwt|Q6@8ZhgU3ki4f=z?$8M#Ik2yUW5G+Bpm}RbwY_bj%lp*@X*+Jj7VVcyDxL zO8I_;lmsjm9?B|L$*raxV?aLMEZhF#gH7EIAVPT zWurLzEPAGo!Ubiiz+xzS1!4@!QuVuqvXVk5TO#EI^pZGpWhkqbwT0FZ3(9Uo{`N&U z4`umq15lQi0+gMAdr;i`#Uw-yUspmY^&o??WZx~6r3fwVfU>4{LRs}P*u_{Pb{?+8 ziYo%824xFeDr*Us;)=K&lr7CdpFQ`r0F+fr3(ERd)mx5iYmDkTTm)r{@?QDfm^;Uc zAUYV8a3?&%fP=CMx@pMp2!(hkEB?8vd;!W@!E+MoI88BcGsVQp@1bnGF6z+g+K$<^ zPemx}Dr${j9vx|`6Qj(dgEWG&A~q;%sSe7z_(^CC7jID3#S_Z9c!RQvccls=C`%Gh zIwnF{#RNKp`e*e>%qWp?G)-DTS<*=7adv~UeQ}*=wjcQPqS}Kfd4`m$zp{xiz zly&ihvMi*atl|vH78O8H_B|{>lp&$4DpzF{izV1hRj7tR*|@;+uA>(AT}M#X+PuPm zy7@$vL+O^4hqA6EhEN?rS*rx2W9PhESx)LQPuLQp1}|v3|Sj1 z9)?led5}7!Y42nB#Ya69UaIg8{0``gnFdXVac`mfTnH}_E;6x_4y-P*6zHs_Ox37Z zYIMvOg;^mwW@dD}H@Z%xFsss(ZlrjSs$3J-Zx7 zm*OgcRCVMSvHbgdEdWy0($D=U4z*nbQaepE1gYy0^dQxG6+x;9ijU&3KD6hfIINdY z|8XG>q?(ZD`6!MZ*9a<@zD?6!p_(@UQmy($Ae9vdNOg^+X0Vm}U9Vz-Yd$Yd)HV(I z)&xlX`f*TR45W%mv&;r*Slj@q9JG5rii3k-;-ffBbp)xdU+?Wv9AglR^$WjljCA$! z#)H&Czb);G?6-<5>bJ#5ad2WUfYe2-^>aFkLlVl}j^oiDKCWeE1!eE%D2|W+Al^Y( zB@a^La-W6U@%yfp;LR$D_eo#Jy9tisP=0%H6o=@Z@1r;*wCE^~ubE|;^EeRMmnjq|(^ZpYt0My=Ax#<7#6)lPr%qc~n~<%ms+9;B)~4MK}? zx8pXHc#j};i47dm0;Jvq`)LGH!(IcW`Y^v0R$WN zE>-+wga@+K_%$ecSQM&3$j-U&^71SJ-sD5V?vJ}*W(GIq6{lH9&WA8yP=-J5f(0=; z`G|;)jx0DZqe4m@QZVSoD8bKiDw6nMJ7M8=sf_#SaTio}gkq=S2CwDgclXC#)QV0m zB)hBg^x@Gq)JWyyE|`-bEmd3$Y1ue?dEAAh5Ym=NA*5x??jF*r?aeCWh-G&@4kf~Q zCiwM`b`G0vFOR#Z6E}acT$3@=XLTi{at^M0_3=N7@Q~ITVPB8CC~&E!{=5VSX%$xl z4R-(WKdS{Gty=oIA9o>n5u|mUhLCn18_s?F&w3Rhtq6*byRZ`N`M3+wO?2Fa33;B6 zyO{Fd0v75wRsMVmcgL9okk+bS1Zi1uKw8&WnsE%$UUC!@_UgEc8;>Z4w34a$Cr#;* zcP|I+o{ziWAei{L3sc>*IPRhzV#6$d-5BZWxJtGJ?mTYTJwmWBQO zxQomGGu}a1B@bz}U^r*uXW`u&epiCq*w`;d@7|x7Vq)d@kXG#;>kEH&$6dTHsR7D* z(ssIpO(?r7N3IN(8p1`#U8LeXFNAmRJ%^)|ZgzelKaTPqD~G1LiXZRCG&sFEjmtiG;tt5AfS}j=ZnR5qw~v0fZ>f$8aN^cd zYMCGI>Vu0xw5SB4*OC5!A1>?|Y=NjfXlh<6)l7681rr<0Ve)zN!#(Gl@eaZQc@W(e z%P#VnUC&5wm+9usM1HuZT_M3@crp6ne$EsVE58TPOIU3`if9*B*9O>(DXgONLY!cK z_QO49GAg)_ez=J^&kNy)d-*|X6*q!jI6vH}R*u-D=mitkS=}BJM(}aZgvpb2=xnlOf5E{-h-9)VD+E_ez;qgzuG&ZW+%h_1ezJ+ zprS$H&%zJ)(XR+lu=&vohHc((ce`-6kAAoxd-@p}U5doj@vh7d_nJREQ{>_xDtjJ9 z`U8Hrd?Xid4?M4f&0bbhxL zxJN%!3{pjv_54S(a(jD%AAMU=M zvP<{bFw0*oDRjL&;D-xM5IEpDxHGNF?kX?NKT|*4m7f!^c)t8_AGu%ib+NdZ%Ndj_ z=UtwE@S9>td%zDDoo@g8?A+8$yo1p7(nH!jk<7FA!#!w~1jqbX(K*y{aB3F^VC37& zOp%fD+kZYg_cl{Zto$C*s=R;Bez-sTl^`waxz~QUeQ}-_!VmX7EWm!?hkNtADo1Qm z^pMu|^I`4_XdBT?X`hnYtR}jNURE<9&-2S_3qKvlKtF4 zH{G?@AbI-F_YNd;(C+zVH4cJ_Usf~KJ&TvsZiCn`%U?G}y83vd-i|}U3jMYe7_r|f zuBhJ@zpSQZVSj&F?ZM^o4#FxuPayf1D_-0n`Bi1*|BC_1|FvV^LGs!-&kF&PPhtV0 zHJ^50jn6;%x0%25zkhU)T>7rsJ3AtboY`5I4pxq(gN|Lnf}O$qe2BjVJHfFl9i|XJ zkiP^DWR)$-+|o;dDHHM>_s6OUNOF>LfnPM+kGK^gL6j8YXV(M zWAmMsb!^?gd~gENip_FOtEb*vH!qPm-?vbWZ%?-0)l9mUyAHPG1s8LQMXQDjcBO-! z8l?3^Wh>?G!v_O~ChnQbzPg%S+v4ti$uMa=s0?~Rz*iSpM@u1+Mb!+#?G$)(6C zP)=xI_9HRA2aLQe=0i;0h{@2+VlfKGy;Y&uQqA;tVsDjtM500!JjC5vc&j*3T|1ZB zUT;0u=3wJGT!P-q`I}pd-;&)O20keYhdCRY8D3l(+$TX2W+gLXof|_C8RmJxQNz$dC~*_) z!Ti#cI_h^|YXW&7L*;Akor=%S!bM8mPu_==2K}auILbMV??5oqC#~-NAtHvo;EzL< z74OTq<=xADnWS)ERwCs&?aKgy(O~?2Hv6(+aq~CYmswr+bzepieqTmy+xw5|S0y_v z#T6k{JG{BTRkSler+ha1GOGplWoqf?zAux!J?+b^S52@lvl8ujUnaVV_GKpIdES@x zUlfPkMcViKGOPaH?aSVB(_Zh(R{zi5?aMf5_q;FTAeeYxW~zG@`?C8WHq7!j+L!Uh z@5>7PcJF$7@xF{xdEs0<&8pAo;e{l)rwNUE4xq!EPgf#A)$|7sPja`|yIaj}MjZ%f5GEv@e?&&pvA;TX+KDF)cBU z;aJ10S{sF4X+E>K12`7n%^spIaNQw9Kad*M)0=@*9_PHk$Ct}ibW+{{9GlQY-d2UJ z4}*A=p`-K;;8^z&66wbyZUW~LCmeRCJ$~x?MT%p`J{X57MVfdvIQHYv6%;5`6rHU% zuP|jJO?klhaoyGfIQB(=WB>8}@eV@R_dMa)&n$Xzm72 zgyUO&<=h5Ma2Vd4IL`}#V{f}||G=@WR*u-DSmK29{Nvcs^I|x*Q&!x*wd1gqZ@dh@ zfCb&Sl;c>E0BUc>CCrL)Ej}{w3|gE~whi7EOD4*VV2S%rym!59u>a_CBN1W z2m*_Ca#co%jj@ULrIt;w1x%!NpR`~wcLqPblgTo_Ds?fH!9Eb9pl3+~=j6!Zx2H;- zE6!yDmP4k$YUD&1#%13eIR>G0F1w34;#_!KMvp-W7=X8t*aPOxh-yzbMTXl(MSkMu zf$VxD3WfS|2yd|*+Y{5hn7t^j<+n$|kuMxftM+#wpP1LHJ;>$}4y0wSD%G@NRS{q^O?cqCeAL_&eA4>K(BMQ zB(o>%GsmvU`$(mHT3U!>}%9-3WZ@fGEZ%ASnEw4{vjuMmE z-|^u{Ms=(5b+j(}iGh&^m{@^(Vr_h5pE)kxXO8B5=9sq69KW%yybt~0s(t3@-bap( z^GDyXFRjsWWJ!Z=9X+mR^q8K}m-mbw)iYYtGy1Zg(UU8ExMpW5^gQ}n#UCAEFkBe!jz*`x&fu@G8KK9;& z(GJnqz1lxKdTyxUxg}p+d8QrKN6wV54Mv;Ao< zb6tQOnTz_X!qhe)@YIgX%Kn2prj^UCO7)jVI-U?|xi-uo$Pr6iV`?mFy7reCM*1Bx zLi!H4<5q!SZDBrf1pi|MgXy`cT?Ou=%kxAHM-M?AHFr_s+|*D9z-MZQR?pN`Du(H0 zD7k6DRUEY_*dAg=UtUf{%|IWCj{8PxS-i|eJFwa=*kMmKkhSD;Ak0TjM>3;ln+O$V zhO00{j^C{emPokj!3UNM*7i=nbhX0aKUEPYl80cU62`xhDBq%kGKxB1|-N9 zL*i642!=*!<76({Ts2Dd?w$k#BhhgOxMN*0NL`azc}=3d!ni zVZIHiwa$U3Yv+Lt6YwrJOs~U7gKRRWif84_c8Y1<*jDSaa%L?;eFkjk*B+6FRz^~=GNi8SRnU8d|79kK6){YFZ;{>_=3k@RQuy@jAFo}%wU~NRJ<(=fXe&k z3!C?+eeiuUB)sZGgEsZH2Bcdpzt-hNNzWVeeZNx@WdPS?t9+^QF1QYGAY z0)qWKw({liRjF;<(GP5`8b-gE37-Vpnadn-V6T2)uYO>!o}F60S9h;N1s#v@&7`iC zkI-rEQrMst;590}tYUAkJbW)~9IyqHL?5=xzrlkSt7h;GnxNx8NZJw1moWx2UVnZH zhAno(LF%c@%BS!WL&&rRJ3>(EwV8qZ@F=98h}21yQlEShsdgHF;jNos?7hcSn zpO;`)YFY_*S*cHEsZWsH^2D|WV`6*!%VDL@bm1unC_>Mc7ktAe7PxC|8x?($Py=4bmtv0Pn``b5@Y_Wl?r8%|MpU z)|6LFaKT{lJqsWYgMlo4GRhg&UQI=(GY?e3;`>8}O_PuRKK;@Dn)J+V)Jdivs!eTB zrNadq(!u|x12n|;{MM7xC_)Tl@U~6zsYcQG)9#03q3~t6_y8JiM z_uQyXhySXc6rMkbF?F0DQy0#q%KmQ0xlQLao!|7nruUnD@k~rLwXMOet(dUV;oGWh z^jv5f`RMzgk+h166evzZ%O#%9#D*wwUUk?uSrS476OCI}9v+@KHGEqQUK?8T9m=D0 zrWKQ2f`c|(!o10PK;iBZ=*2U)rUO~tN2jQJgzuEZEteb}zHMsyfvbLT3eqn!=}(*o0Y6*drQ0OcyEVD#ZMCz9 zR>586O3+#9)_a|*085Sk2ju_fh~KrCOT3(kpNtYqN;h+BFq9dqDiram#Ntz4_qvY1 zVdj}#Rjc|tUSBBhGRv=pB41MwS(sGatD?5jq%+%U&pkhy(HEtIT`j?rt-;S)gS#;> zFg0RuuiT8aZhbJ=ow_1EKaOF3%q^(FAOH>DQ%&JnN$QGjJej9$fs#&;)ll$N01hOVd6>;=&~Fah_YYFwfQb+yN8{g^BIVX6E% z#+ibcHbRo+PC4ydsNlr2G`sXigTy7yWa7cGM6Nr`h7Vu-fYOtP+$m4L7qoYtSrAl` z{tKpG9Zz>HXreUiTq7p4UFy3o1#UQM%VhQ=7QKUO->ReijnC+dR-<-ibcSVwlKNaM zXd~OHIl1QF$-*Z{!Lo1g&$s4c-|JK2Hoq(-=;$F=!a8rDsO%MP)WiVd!ujnubP%l ze-E_O@NVB+ER2@}&>=aFwf$0b-k}yu)BgbQg?yX6%pTxB7)HiVK`4pQG9r0Txqi-Q({6EStwE#h;c8sjRisDPIDI<5vWhDH+Mu=WGuNs8? zBggVIOxB?UjJ@H_(Z)Hmv!<16-GZ@n*oLLz7G!>@Wj>EGUn!YL36CsFJdufsDDmCZ z;XANYkPg8kXWks#ka<$?rD@H&OueDp%9L!&J$_i59En-I#-TYwIAG>f+OMg@xHxE zRX~iHoeMP=7A7Ffi)fb2%0Wy(12$&yz<%CwSP!yyE88h|oXuC`femw0-hdJy*p0UA zgbKRTa7GDk; zrYx}xdl3AQ1=k|O9%Q(-G{Z-KA&v`;Y#lhhV!`#`SO<>vr5x9TBmcm?W8)(OERQ;+ zErnV2bwsfKCs!r2{|tiG+?(%MP=(7WZ-n61;Gx#w53Rwj*5JuPt&fE?2|}$u^nkCJ zTOaSVT3-So`S+ae6XUg>jS{uyUVW{y`9HtnMHs9#7;X(7C}eXeOFj#Eje?tFiPQZ+ z{#ZOobN4gYm?LjML=RTQg)um$esE^6@)4Z9flb%k)FYQ-GJHg<*RJ^LqY;{~)mTagXVuqY zz_wqQ4qNM&L_4u$mdPmPigVBRsPX#b^u*PeQqTw9TrV};-!%TS+5My27QZ1meH9c5 zsQmUh$mWi4d3E-&_AjTybBeR+_;NbeTwgc)=dHPq)lW?a&GprpKOB@?^)y&Bf0%4I zKJ$mF_K#%#Fsb7_H0$TjqP|C3gL_+p$6AB&3-foNU|7PsyTH?$Ypt)H&xUv?*xDLA zlMWudFkky-612ZFT!2}oxxO}gOEN1I8l!<1z9V%99| zK)-k_x#}_qznXJSYrsl|scJr>XOA05%|QVYBYZ7xaSxO>HT&a^ACCTFadLVy4qKRZ zFW6ecOX{nCFpuoHDL+3GHMn5#YI0r6xY_-v$N01^5RM#=Oq0{+u>*erbR$Q&d-N1n z)( zI5Rpk9sD8xK9gS;o;hcBKbDN6=W}Rc1A}1$;dhUpIYYhB_p$4HSl(wOujwol^U*WY z*&nx`%9)SL>Q8WdNj3C0KJAeoOv2u4Teg420#hv8syVoyX$z5dZgVhPDCj(%dt!_~ z^o*Yz7@u}9ZbGbU=vWUnT?4(1kKaDJjd~lO_5hZg%$!LbcMlxI+%0+Z-xe3zf^;b3 z?WpSSG3cttr@cnP`&B0lw-rZV099j@7I?;|eGzh@S2W{W-d%;<$8ROk?0%48d_m5_ z#mNV;=Yv%woU9!jhe?{2g8P$4V8FBnlk0=_`f3>n7*ori8T^@ZVSW^yAJCxvuhQXJ z_45`dKYmy}e$J||!S8wXb@=^IJ?5phdC4QHlSj1GB#&5Fmpo$8taR93pT_V%Nm+JG zL7^62KR)gCC~cwii!pEQL;(i;G_dlFs>JxsC>yGP;-eoI(z=*-Pl>+L;n~%jspoX# zIn|#y*j%|{`eD~>32ug%Gu@c`EL%Rj2)m2lw!gQhHN!>CEsm>6;&*8(D7h_31E;j|4un{FK&kUd`#bPC{(ZUO%Tb{C<6pMgyk4*6^D8 zwYVwmE928H*fc5ej@-!&Fil`Arvpq#>8gR&+`Hi5bV+>;dSGktvHH4Jf@Jib)}Xb1 z)`j^i-=yA?T(u5DTf_6}=g{~N+=+#(HTdQDw7-K?cC0#fm)e%xC9lLtX;UOJfQ5pI zGg_-29G~`9#WvStcwx8qSQ;~RGl$vsX81N-m_O<%PQl1@elUjF8)LbZQvynL!-e@} zZ)BUJ*P}z)80e^Fhs2=vQ$^GJuW!oSI6k^#d|LNL&ULo_G@WCk=ccB!TXX-N0OXo| z3m3-)G{;3 zx$2jQOm3Qd^vTKO>aQ_;6;c*sh8j#>wMs0Dn}Vs>K};O^j|Tkmfi z_{0aAlUE&fans{l63-+O9cMNL59dzD`Ln-BUohUZ<=#VY{q^i0FK+63ATcQw+!hR_ z4!yDKN!rqu9Y4~I`N+##oC@mSo~{~8pTflC>W?99aZ~2xw|l5R~;i`P%`^L z;OcbOHmV|~N=)vizn0vkXvQaI_qPlj0usze_m9oKg{yVjwVVdiGoNVsp#r({>X)_* ztR52?9&&HN1e@40a?tP7|Iw7Zs<|V9jW*ZJmvFFWwnLN89NO{gCe7BPFZcPml$zwK zhaf!#ZFMA4Q0V<=i1t~t$1y6KbMG4;Jt{f969kFo+}o=#IkYtHy7-)?>rO-bG#zl+!XZRoNs!3sQLJ<&ABG9Z)s`V@rhr9 zD*(&mLruqTDTw{Vw~;;G5<#g)eu$;i09zBlKyLmf|IQGFk@*SICQ3g6!wca5D~H4`@@=i`THXlW~l^|jPv8dE!?PE8MB z;IO`eNYZD`Vn};MI`g}#jt8~CjJyg0nsbZBn~%S@YU?P#)^YX0L)WQsFr;g5y+3#Q zArL()HTxFywGUm4iSs-?4$WmaY8FGn zUn`qihY_rA!lQ>~%*%~C+FM(4Q{N7TBNwNLtZqOlIaAcMJbrxwB}!&jVbw=N*8g>D z@Qaq<;pVD476&hB4eo3KXvLtO!BH7>BcR~ zj~$)i^uM?{SaWIui#+>!el2_F)LZH4G zi{S^N{(W;E_Z?5*{|t03F(k9o+1TGe*%$XLZhCxc0=<#nDsByKU);3ifkRR6rp&#E zbp3i9%F5hxNK50x$|X0XuWbwT(9&Kh#v!Kl*Ru(|*5!lM?20*}I-- z2{0qIRBdSi1k-f%4y;I+(^qgduI0pDmky@Zw?fwh?zRduddcj^(gC!a&Wue?etzX) z>=Lc@Gl1e+g7NvmPtY)j1Y0xrCOe<3PG+B;oJe46*!?K3=rzg3Teeg;J@)phx@7iV zM5Q+$accGPt7=ZIM$yxcL)C-nnM3KD^ZWqBmIo$tGXw7w#-Yz|25@u3eD*(IBZx-+FImOLfbkw=_LAa8SocFgQQ>+5F%anX$u{zXoux zb>@@l%##NtKYtzz79Ncum>HOy>91=_)*b@hmO}wjAI>d#8tXT5BI&BxAVmjT^=({R zfv~oWBEBlQ>Uen5Wu7jPJK4z{q1@b(S30@rLvIa!2}q0068H~qfj4{RH`CKw@-M-n z)|~t2Dzs=5T;FpY591`FCYT2^{ga!zo_rPJldHdrxw0uU_NwISe?^E3OKSFyw>Q^+ z5VpTd>f6vKTk98T^~gM<@{F|3d?KBB0`+VMLn=%L52-vIN3uLEx8Bow=nXX5-i1goVVSW*VXC%h77X$i!#^C_|b$%c4=f!;-5$9YvpK(J0@`lB?RI zXn-gRLHQ0(uIjL8mM`~_hoJTIGh@l*s?T9AbNVYZB`t$Z;ai;kJ`yjV)8D%z{bA;~ z1rxbx5Y|t)dw6Da z+Txz3?w4kMTh)R+Z)@Y7$kvC%g_3JS)&v~+A9H)&;GW&DPS-_4Zc8vLrceLN?v!9gtEe78~ z%Kji^e>G;cPmgDwz=%4LBkBnZi=!04=z9R!H+9d23J+@P{`52WA0OGkwL>@_C&pvC z+mb(scCE*6ZmoJEJ@W>e`aZy7ZaSkXxoLLG%z>8N`w!Z3Z}tCY?_J=dtnU2(84@s5 z>ckdow01k%D2ak33QD-t3`}4~CJ+fIYHbK4B$1fJWF`T%icJE{j%V~XH~1aHjm{W<4(W+s5U``iEiU%&tB|7u8=OvN%pSf6wuQ=HsM_P(^d%x> zqA8A)zoPHY@(mM4w0?t~KxFJ%??++p%b7{r{t5d-{KNj=LP9sPXi;lk61g4_++Yg% z^cwQ$((6F~>zLF&Mm7(}f0GVktTy9`#9xRcukcoHu42rL)k_$ov8Nw_=?b3&31Vl5 zlZ(7VfD}!J87=7FU&t!0Eq^h&z93ljoNv=AVAP-X0?fV;TPHo7=?tx&@Qsl7{md(Y zT?H^2s`)>BRz4QkHGhnX79e;p`ZzsVqKE7fSQ;xsSV@v!IOh`CM1!Rj4xXnX<%eOW z&}jYAZy<5PQFy*DG=979{_Swj3G?H7;*YP{ni{|6mRIq!-gm-=*NS6vxrB@*3}eB1mvRWMZkWq$nKULA$-1hkju3Bfiy$(ct^juS1aH2O&vC zI@ff@)=={6lE%)`8G(>@4;`2|KfYHjvs~x|?I%M;OYQmx_sQX=?1<7h&LlIpxs}~;1?yQPcTDn zbBA1x0E5Mqy^V41hzHLhPI^PFcSpM3AhEMvhdCHExRGUvJt=y!@BWhlnwP9zzRfrAMaop|b(#zM7Hp}(90!N)el(Og2|XRTA0ir#Pbj@45jo}XqIhH? zYWFLsbD{Dlg5Ir$lzwOE-mC)go6uO{*3)lbHaO~gpm1S) z7kDBJeQP|}l3ZL=ehBcTe`N*%^+~^T$Ph<6o@1EJA}CFkyJneBiPgmjYL0l!-MO@%Y6e^>ny4^WiVE=BZJ9JhL`G84K7sT>vNS(DPxV3 zd89fb?jA~RmUd0CaB@y%g!9Xksz~{qQYTO_F%i@a~>ToEgO^6fo;=cVVf(?c3-FerBFF-b)4% zXylCh$JO}m??61+Rm{tpcZlhDH|Rag^Q-R>^VLHE?@peV4fEgPks9ysgWeRc3qByn zO&l7_W0?gp<9j!V7^WK zY?Fa7@I*4*C{oM$SJc3L2jMT{FyH|(`0sxMW&+q@0A~P|g_!|YO5l3lfNMLW<^`Y? zslfYuTA(3=1iS|gfP(SeO8Y=MY_I@(WWdbTO&=P_{l_qK05)54O@C8qkx!(Bjpa=A zTw`}gNE?1TSbos(bA+aVcZ9x#Fvb{5c@}{MLQ+em7R7**C(sZr_srnaERie61 zP6|Ln=Rre1nhy>AD1C#Glsw66*l_%5y2j0yyaorYpQdNH-_XIo9%kP!c@6%dKTUsu z_<7h!PB{Xbi%dL{o=!FKRJxZu0T!xw-$&^Ktn0)$ea~az(x4(=B$A@RaYxcGunIGi z;&)=Acl#^f!*KlPk@#a~Ly%3G<)LIpA!fD-^_4HJxh;rygD150x4?0yH!^;EsOnG* zZ?fOCq<-`TiGI7ZcQ6NyIh>4^($%eIujW8%I-~|Wnwc4KB5X;hB#}5*8!v-C#ZPmM ziQCx^d^ZQrz~!~^-Oi?tHEGwQuion-m(sfR9 znT+1%9jwPbGSS%>xxKWE*vh8{hhr0Vi?Dc{ts=H5Dxa#2zk+o+l>9+yiWIXSCsBE1 zc}wc9(^!L7Ux^)PZcXL>{tq!*9Uza|RE=4?vv2S+tYH{T)!|?~9p@__C@n@T zSi=HZ5lXBp!|GXDk*Gu0yRmd8+2{BksQxl>u!#?psgB;uG*|5_zqQmaS*ZN>(hBpu zqqLf5OX`mq*)5NlYHzNIEQro9TZYB+%$6Zz4P>6va0j&0Xm(YP#9wYnO@9p&*pVDR z3~cz=8#{BuoYFDT>864cXF7Y1&!xE)mc=l@s0Dg9vVL+=HtimhR*jWBSh^6omVNq7 zhY@Ili91R+1HziYm!cEa^fO%4llVji@Bqel6q)wY>cK6HY^Cq^ZGl@59!R=z2gZGB~2OX}egkLRlm zVy>SxB=z=E$u%~6{5OGQ4dh3AS|eD#;NiC$gE{+|vQQ>MetcCh&qYetsjV_fs{WOA zEvZ%1d*v0XcQbOB5JxO6lzgOgAM@}~8Ndm}A1U2pp25;}m0J)w`akyiHuegm97bps zR3yGXnD~J~@ZAHYdnlp55bt-}R}bdknR+GaXHJxoAIv3J>y>P+<~dM$ho1aJ;`fzq z;2FQeY!pT4(q^vo=9}cg68K`C^ok5)f(|D@J!~=KF zd@A-FEmQ}`r($P6B+1BZ5Y4@d`WQkr5uSIy%iiGWGG-2}1AoXq(`NUPi2nEu@>0qL zOkVbH(~dB#Vv=v**Gx&Ee+QPUffXA6_ob*OY7oVc+v4y6 zDi9qwtS8^9&>2_hLEh8drq3Iw2U%`(C+;TwKX3dk)=J=t0Bp-QFx9la+^;=8&96w; zovK+suYw)u&%ULtS{tWTLKa%xQh)^c5m$Q@hN>R-ef=2}m_#9}%^hZ#bb1fj(m&4X zHAnYz^M9$I3)Ii6u2MHQnh6~!6^_x3YIUQ3T~UE=u$)HvXQJKuc?l)ECOpKF(X`z= z+iRBpwhb?O2H0WpsFQVt$xDhuRkeQKrh`(s`?nM`U=c%sT7)qDyyA~qSvS%XmWV@b z(`PyJZ^{2dMW#W-1A1j812LCSOKK2Xstf2}VRMy@b*1K3b1eC%Dwt@sOTF)N4!a5@ z&Hb{zZ*Ci4zpW-^*9B4mRInxWE;Xj5-X-e%F!Ys9q1X%B8?FZ5EVZe8s&fBPB*`U6 z5ZvZj?m}`YN&1HjIzokAnyGN&62Ik&A^K?tX*c`2!il9NbOgrry^|R%GjYXNH9yx; zUQEzsh!Gf(sG1>Z@sY51XP9*oX1&yDv9wYzbu_Dvohzm7sew-)zoJ@;DPpTRh zw3YiEdhY_)g@3vc0>!98jDY1cnoLh))QZa|)1Oyd|09+a`v$&CF(4?s%+bYUa4MF_ z)-&*MXh~fHB7`vkfJ8Skr7y8CA39Zy#dp7ZR|pG>VEG|SK{Q8rUHQ}yeneZx$Q2<} z^(^rX3~p@1)#y^!}Oj>Zl!{=JO@3Vxt=?zc<>dX13%tC zBii%DeuJN_42klmMh7uIejKvVVygA-Z%NhDFSn<5g}tqwI=J@d)MhjnEG5vwFVi*v zU;jOAr4~_Ff=(LG7&)RDOf67pU{dtxH2Q!eN@h~EE#(^+17^vr5h_pN4ii|$&T%OD z=~+hVeKT;mc!nm5dW0P%sf&Kanz}M@ReYxgTu)y@{c}n^QNKjl3QrW(1~H#q-cma( z(}Axe*z|vlV16!;0ii^QflHGXL-IaD;*Ge#-s(R@fe?+4PWz2^9`)0bq53hZtkBM!1)GN zX}$%5{>R|?2SVk~_*6( z#g^r7j6>13%%q8Gfci|eZ?T)sro6JKVm3Exz0c$ALG_{J6}08(si*m4lH;@+S|GA{)Z=cIXg!j3j zNxu8dS`JpG0$cYN$+6;sDH}so&-LLwh4SlSHQjuaI#D`D_RhA987~>Snw!9zo`Z#2 z?dVC<;6UjXutrLVAFQh!W~&~I9Xc&i^;Ef3{D}6X z|CC;3COz>dVE?gw+s&3`x>eG3cHuiDxh3^|rAdt-*@JK`m^d!5z z(U;+QU{t68f)Oh2|Ddq%+5W;(@6hwLYlq-PD4XuA;c#Xq7bZHE*|SX4H}E@J)n2&h z23j^Kk8QH95SJKEnBpRA4{!R$Lc8pJ_s9bSC{5XCoLRTFsz@?aG zIa~(+3{}AneVh7#oahmK#^&@3k+YC&5da>9Wuo4GCWANo=NAi7#5kj*M2G`uf|#Z# z60fC2+<+qStBdi^L}CpwPJcMLxMH_Qh!yC+sR%xCE3e_if{Gz*7~B#giW|cZyIiu2 zvZbeufKSv^=YkXZ@>NsaXo2Pu;#7z-dYZ+W{-#+(V;3UqVM3BbR+N6vSRc11gu*ix zkiu4Uq8Rg1`YPp)KR$$B!GWwXrYi?Sz8{_wOJ}=tCzeh@0y7=Or=IN!wt|H?wB^nQ z0xafcbu|8#jd!3pV%AklDr`G!xE-}we^QMzQbX8@~=Fnfv6pWanif8qX*PVAi> zO8o1+eBAQoDqSP z5@kC#AM5RQe`xksz_Y>S;8|___u_gp5wDHy)DOs`Uk>ztt;7?($aMA%RRX>9f3EkW zT70Mj{j+eT3eb}L1Nx_waxU`f;&8mCD6qT6G#O?yE;1Vy8T%eJL~-5MoIHG=k?1YU z{lz$zHG++q2;acBsf{s~=zPNn_aAh^EEwN7YgK!FdsS>BxnH z^1?K|?f+>ey#{T}(&n%5CN7=+D6R6GHu)e|{;%@NPoO-&&Q|dy3X1Ci`R;ti-E7Ki z*EHNFIyh4+&u@1A-mFc`WB%U4d-{6l8#FTY9Q0P?^g6LVQOz(}(k=QjvUnxu6BtM_ zm7@5#bno||bNcUCYIY2F?+3dz8{#j%f!DO$jkxI>c!76~Zt##6z-STUD#;1^(?J@O z9;#h~k8qYY4(I+*qO&NNSUNM$X&S>k(k}?{Dda_#M*_qO^nZ|v26xY&DQ*!;tVLVH zqMBG#97)VC3dWxbCnm6?Ir|!>;Vu4421`rpGCh91%&bej@P>N214CDg8#bvw&-i|$ zLE9aE9ghfnWjp6>f~6Ax>T{e%E|bVsY$u*ithB{LKjBy*3#F$>U5cG4r*jqrqV8Qk zXNKdl1U;T2dE1fn4JDzTT7%ONbo$NoLZyo7i2+-_I~7YMf1$t$}5* zU!7T~=Qs_crW1hI!JP@EEh+gs3JY$G00W#sG{8E$6&iN7Dt0qQQH&!e_DA=U4|qd|her5ueSaYl-(LUc;QLCDOm6ge}OtoeHeA zLutD&tn&q&nVZ3g<%g=nK#}-P=KDi7Wp1M%h9=kIz!2Y4`B>h(50q{oRZBZsT5*l% z8gC?dW9b~kha`GrV0k3@6~+Y=U|-;LP;i);I>N4kom$_(w9txN$+4$8-$Oq~&*l5E zpE>FLt}!yxlQ=+VYXm86Vc-;ZL79(6Nk?>@a7dXNSG$$~8p> zN|89n;NS;BrBOiOw8vBZQowY6=@(@>za(H5W%eqoU8VMliR~8OSQ|fgs0kEd0l9C2 zPVQn|(J&{Ze3a7(DHpz(N!`>hjW9VQYU?M1IqR6&2fPr-szb>o)pFjBKVLieQf%VT zEE9inEhma2Rdq#S-#py1B?BRiVfEM&do|1+dhcgL3G63zMLpcULknE+(Ew`wQ7C>H z_4ifV{XDa4N~aImB>_9(9>DVxONy9q3{D^T`sN!-!V@)tE|-=-ZDbPi;_&#PvQ^RJ_DV*~N_ zeu_;C1&=fHoBpOlZ#k36Sh<7Sj5RZ9I^)8rI$)>*IQgxI&ZL%yA!As~ZY6`^U2>({MMGke;HeE+*7M|hc^wUX7 z-3Q@GpG%6(7nnx)tNvM4`Jzmw^=5##$q029w+kV1YnU)8X z)h1d|3aX1QNlrO0RCTcX+}cD1ZgHXb+M-%7vyLd&zoU#(%A>$%=NqSfj=!lZgSP;K z(AL)q&GtCQqKu(~al+DCQiZhd?AHG%l(-<0Sc?`v9GwL_5($N#a=h^Y4FihBs;e*N z%&C#BMS4pj-ZvrecnPpyV@NQ?#b_>Al$eJ*#}FxP?GbTTZGXwpHr?aWz8*!y0n0DmVafY!$!fm7At1h^PHmCQh|Yrp8$)(W>OG*S0!zfUkee`sh(GY-{(;a-OF@l2E<*Z*$h2@Cz>1 zTNc{-YJrGSd=64muf%xq6*1|d`|>cxoG*`#uiWDBmwJ}zD(q7_ZM=^)ffy$?4<~Zx ze_;tY)KDz?cUtPl;2&mla~M8~+jYTJPCbS~C+kt@F9)?2mXI{`TgE4L`=P{H0Lb7v zS-Na2gj3P{inx);&z%om-~F`^>F8&-zJgV6+xR_M-d63w2^Nycor_mpJ$_Ffe$(z4 zuDBVaHmOC;=hgJssIiN$9IrnGedVd&G4|}hCM4?pi5mHsj`8I>u>rV1)t2K7l!B;S zUQ902tjYQO&@-P@{|VGTkY7KyJAvY+SV1VS1^5c}quivxvuyoN&KJ}GjN|u3{YMI; z7v21Y{v+OKb^nnuu|=uBq;|oFpNw+mqyI=z>;grluNg&8QX;&Hk8fdut39dDyG8F; zgsApvKUXtBKJ&DeF;^bv;S3Cyd=o#_(B}4ya%gMUC09BbeeS;-%b*hX>8#>$e^Ogf%a`k6$OFAz< zW9qkL68^;JTM-!4DYN`}yS)H_+EV`lkg(Sey|BBW&^C}-3xwlahIa2RV5@+mB4c8k zgYt=ZBXu%0W~&>zhbZxGRI^~|L|%%;R8#F_lFlbCtxe{MVz4Fg-RZZ%>s%`}WJ|9E zpt-1}G@Py8aNS(m0`>EOE$Q>JCDL=vt7xP8c4$=LVv|mklkkBfH~NKBJh6SgYc0i#l!x(=yBl6Tei?wuj;&QSSw!`i~|Lo7@vd+EP3 zgL6Bxncn6`(w|LB-@&VqcW7K25|b&Bx{};R3JF*3^ld~!^Kh)vcEvOki7zTjf7w*R z1e?)5Flw}CnlyK`FJZwkqh($-;7vY&!R2#dFNYbNeglj2?=_pr8Ou&LhRRg*u;@$r zm&EQaux9u-Qa=GQxq&=v(lkg@4bn-GKGJ`0;@J!Hq?5U^YTILXf!nJ|2FA1-x1GA4 z)byHB)3hqv@ds?4%amsxc??~AT9zqr2|}5EiWK^O)8}p9AH*C)-*xE|@>fnisxbZR z$K;nk&6fXcVzE{7tCmd6 z=5+O$P;wsox`%sCc8{9k%e*f%yEwW4yM3hUx!yAtCntO^{3Is0J-8r@4S5fe+Fj9m zc5U)f&a6Gx_p(@sF&ghXP2<@;>i!9Mzy=^o{4a*?Wj)*b6rA(_n@Mn_>7dL^dG~9u zae$R&ooJiVt*jfTcj%ntKRlu>?hWH5CI*##lMw6?hDuw*sHD1ig4m)e?&$L zS&>75#KM(|o{80t?>cG75PP;`mApcqCD_4R)lbE&Ovw@{fmTMqzg9bm9!lL1k_-g& zp$)0+%%SdLP?iR=z%XP#^N0JtR*dO6SSr+^Ivt<+CT~~(YU2mA`FFPPf#WN&&&vK= z5aUWp45n(h2!4cfTy0fLaqpTi0uCC>YpN!(2$^j-QGa@EqP8w^V_9Jk*(5W)G?0m{ zNL7KG*75NHRz&CIys7+2k0$6ms4#EyzOiT_$5Fgnkpj>6CvBLPlEg@e} zRcoke`TYrBaaC7?#Ri3zpUNF2d$Zt6Uri<>cjuxmQMo*QX2zwIVP;d;v6r69W);Ve zyGIGg$Qzd%`;9sF9Z61o1(bD=F=a+RVEkuUhWW6DQat-jBS4YGph;l`m=V)q3R_ah_)DKnZ>)BBZAxW}25c_$m8C z4IJb2#EmZ&)@oPzNI+b#Mzaf+v4>C`jK7%LORu$$ER=snwcv=9D15R1@aKJ-wE2R= zYVY*^6vviJ`lf_e2M0Y7$p%5T4AfEXanO&ESwB8HAfTD`hEB2_?sRprZ&QrP#jMeP zm}99Y!!G&{pXVDW;w6}DGYwhv;!0COrNRI*;!M|2Px%d2Of|xz6A=3`E9Xg6&vC| z+uYN9_SCUhW(G z3U9|WyD+afy)Vn}eYLITA63m%^5xbm-S!P?*T(HJMI&y}ED&-4Xi^n#@}I*;SR-Xf zqJz2*Ooo%eDBH&azafL&(E)EE^LLfrsq|%~5pH`3#V!7EK5p_5VHp zV^V(pGq5Krg5k8w7G!ZiWUo~gV-S=BOVAv@l7zNmvrZ2MC{@O8<{nb?7b?f7W{e`% zBb^)qKLzepD%HZ?tP`d_D)h016mCl%nr`K81Y?dd5?47KzJ=-Vc&dH3?^<&kHme@2 zMyvugCM*6v$WVa3(>L^&w{stuyHY>qTfXAscX8030-_uK{`U)g1Aorf&8POi?~UDV zo8Vhb4E?R4H^d=WDx&}WqG%j%rSniEG&5{!YR6)oI=z8Hn$68TwLO`8EX~c7Ogp(r z`uF{yLjMj;(%^-xxAZymKZk#h5|s&84Xfp9-~Ges6Zy6fV~#5f6FQ&S%IrlVJAh~l z9T6lnO{ktskvV>+D``Gvy2qDcJqP0KT+L7s|0nww+Ikp`pMz{hzTehYJ~Y+NFPZ&4XW&@~w(S95MrYjHGmZ=;JugHO>#?8+ z=$y=Xo4qaUP~pVoYqa-c2x3{uX z=HP7XEs?4<#j!_Cf5TNj@5L>tqiE=*9KPp++}LBV?^d1AJ+tp@W5}&qSk!%b-#J#H zz#^GAKa`l6IqOIpVv`xSsX5O+W;Bqz?@{u+7^lcpv8bNp@T`zc%>#zPNlIdA8!_6_ zHGKdnOTzTQrI%Snz|uU)PwONsTMi}j{aCBr5u|>Pm@rz9DzSo82_BU? zh$y=K2+W)dRE=D*VXOoU&OP;+moNAR#$l!qF_;M_Dq=83P%1MobZjN_31JOF3RRUZ zi--jOq>Va#Am8B~=XTQ<|iCT&O zfy8p;fB4e8#EmlxYw+AQ8UR9S>cY3=Af`_J3JBpRb8>V6!zcpxdLxOeN@~3y1`^0+ z9llLZGdKN*7x*?_BJnDbJnzNILmvzfHe>cWk19?Us8`I>&r>z_08!VUBW zDUO|_0Yi*sDG@-bvFm(VFDn>z7cC&i>;$>jB7^8Q5Oa$8H8zWEr|^cLaRIt4)X!0} zfqVGmDJmooaH@KH_Y3jAEdaPr}BB32|pkl>H_f?K3q`^B{H=R_m;1mGkn#>b*@|~*-}n0 zhAYzqNPupTDip7`Y-T{N({edi7EknQ^%Bg(7b29HP6MoimedZM1l0Z2epdxLM-|ZJ4%q_2KdBuSHIsASC}L3< z0Ib6TBW!L`4O1zT>+{1R5cuXhGkwV``SON?b~Qi>Olr-d*?)pw-;w3YqSxSf%0i4S zKb2X;N+enL2;bn7Ec)!WP?iPD#4t054A%&|m_)ne2Cp)ob{V^nO@G@q1s{|KHh2+v zoC?4>oey#$mGSg0Zxv2Zn_1UmaWB_kcHma$Vx`4%8rNoJk+VykgrB;00di^ zTR)E)$l3+;5Bq4HRFUJ zo!)JxQ2J8x%I<7BrS7ELCArWEPMp?dLbETg@byOwj);?)RVoZgTngO@Zad5}bx=ii zne-S_UA4y`Ne*Wuu(G-hX*l&|mPP#pWW>Q2K&~(AEW`huDbb2CX!GRfYIPtaO%Ex4xDyc_c#`C(+>O0P`#2p|ajtLEMaogNw#c{f z(|UXODD8t{cv@F&>HE^qWU~2t(L1)oubvNavy)X&+$_CFpKD8c(K~K{JF;lSCyQzm zeJgaUebvj|UmTii)~&R|*!g7RZm1zf)VCsxMFStjs0g}rb6YE!osz!VpZx;BHh3vfN4Lh7H1Sn;`O}8qw zsEmybocN2vYcN+4Ez!-iq74dFylsLeM z5+}hZRD}|^@j~9h59Wh0?7N3z+N9alH)W_IOCQN^;OXdb2A+9&1Mu$kE zt=f3`j5E{2O*X2*k6J8D)={v`-l5e7A2`QkPNLNEOHcy$*Z-9AhEC^|3dT7!pfc04 z@T=uMP+jbrZJ*Ve*9z-a1tf`SY2;b`PUKjiY~aM^skOHW--KI(cbW0d=NfNuAxl^_ za9_DYH^o=pb`I1eeMn@iSFW#8-dHdmB%kvitgNnnPfL97%r-b$P&ROykU^ z$wl=ug*;$QnK%@sMF)Z~4J)U$;V-n~n# zB=v80XNx6$T{+X)~ZBaM7DEQq1I+0irRs z6Ec%dyGs6!?7i$T;y`B7cp}VbL`(4Ej&k`p)nc01)skv|UfVP~jL>j3Q-%kx`B?(I zvC2)kWr+9jx90CEoIY()^2X_$HhN7ag3P2(nPhyX<89UH2F-T)UXI;zQe^G{ZgYzO zG`lzkjOgL=S;c9e$@6F-++nqI7PIOh z;x5y+?k%Y%LiduSq&`Cr z5gye&9DCH$`*`(zBS~gQ&Y(VKPU_Ta)Fd2MlREmVu4v8%1FG6&`7_g4bZo%)DC#np zUq_XXP=of*ze6HV+s+muQ-5x1wDiqJ?;u(doYRb;zlSKTw~bclS5MA0&G-g%B1xjQ z>4{r}tEbrJqxX9$sJ{?b&TYJkgVYktP)@eXz*~)vt$6axp|hcX9IZSM)|D6KZ)GMW z;Y~7Vs(dKfJ{DRy)H_a|`>|&wDVSAZ$inlce)WM0baM41|C!IY?D8g z99S(XP^ZRc4wXwT7Z$91(N2%u)4RhAg`N~`m`x2aYG7EcJOt<#T`{<|s zE=d-iDYVqeG}hrfR79hC)|?9&*hEf?j0O=4S+mAZF_a9B^=_EySzm1VEVOUKjl~(y zx)r=UW1@}!#dL%8$te)fyG&m}b>F5=NScG_X8vw9)JMZBVR)A$r@y9V zG$lCI-t!ch0eebO0>yrT-XRaz*|`o0OfCRoI*qWx_$_HQAeQaY>R=}bY|^S&nLb1v z$P2KLROmSi*o2wL1F3aPoa*AV^)#W5TAHXAqwn2r7OSs+3mzisr&b6IY};X69VBj- zWhQM}rd7?ouRAl7Zs1*X!>Ei@L#=TtBhF6JvrJp`U;SU=R$0geNjU(nYe2SK&U zYqV|lEf}+o+zF9Qk2!Mie!aW2xBI^SE0B%Nm_nR{w5SdB<1!M1_R$kLxTOZ-v}ZvGxim4oykkmsayn0@g3j& zd#c{^$fTKfj!mB3^M7*zNUbXTxr+l75Fe)oQNJ&wmk^4%w@bGS> z<6@xk=PIA#M!k_?ghKCj?k655L2S@_*t?T`GdKwLSczHzIgr24wpp1_G5(^gnC3Of zUI>_3lKKWlNdZJl4-NTh!*R8xEg-jw6nAM&Rf}G&BcDoZ+6Q!Q4Zz(^vj8r}rx-G7 zE|l18!Q|vIu&gDuMsu#YzCH2er^0c3El^Z z($lbx>=gBMzg{^Z#9Az1iqC|{S8&;?D6`2CzQ2)9MRCd?})DQ z97mxeRHQmIq5Wp_bKq(4OOP;?vt7r|<9n3V)K;q-D{7go60>wP(;+SBfqPX5N1>Ir z&$1$fMC2&J?Dz&20z(y9X-FHJ5-q96f1%kY9}|qE?Hg#Pj_~dUCF;5`qS~otmvc@S zw@X!+{B#$_pO(}=6}#C?CBh2R7?%b(Eki_8rG{o21%kp43Nq<7U53g!YvtfJZjFq! zi1_q{hMJC~zWuaC8WVYjAsx3Hi7y%8rtbTvjKNnp`dHRKZ6wu2fdE%Y$KDzGk?LKk z3WVKEQ_> z`7LN6*vW(Cc;qB~@!NFkHr1;|r)!Q>kCy8SgViv?hFZ&+RB?Z=Q}G|0ilg6GBaaFz z>`4CAzNWsf{?h*y8)m}KS5b&*_6@F8-DX(uF2lL4={qy&ag4dbW;T{!-;bCtmw}0W zvpzR411=j!zZ4Ij__*}S-G-=r0cs|9gw13#W((v3PSia{Rp^z|_~kG5=uj@FVI{uZ zR%SHJyG>gT)^0$41+Fe4xbQY-iTQaxI=C|Z74nQ)!e-$j`=P3*w=vDN$>vsjwy25h z7Z_eDoAvFb`{n4qfQsiqzxSY#8oH^05qbegrIQ{lGs4WV^XN7Hh9hza;SyNgx4Oexam^W) zvLI&y^ESI7$2th}SnT>pqR($EnE%F@LrL7wwJwT=PQ49Onke&=M1k7Gg(lN&%7o8> zao>2EJ5%5V7#DPE9{8pn!k@rwghsb%y?wp`RoMsxU-;y+C`2n_(mek)X-MuVjca6#c#iN{9 z=$|A7oQ$(hJ-7TmWoXHOx$o6ThVoZJQo65HmS+L3PU}k7z{(7;PP7eEzDcQ8N;Mx5 zm#&aKq)6=|M-Ui9MMQKot9i)58lYJWa>=oKhRDI9*g_8dDYq6HmDp>*1`M0CNmgNz zD80{Qz11v!$vXG)P052ibSdd!^R&yK%C-9Sr<`~4+BK-6 z50j6SGEVRCvysq8@J-zNmr%=xH0_W#BnaBwBFo9ZP54_FE0wA-R;qpW2B9`^fr{dqx3dCfwC0`k;y5+BEnz95Yt^R)Mt`O2}&neSW8E|MJ|+bJt<3)1c$lH_YU znBj#^YBM)gFA%WmXYb+g&Pmzzn40axUtpim@Yqo5Y$x)M^d#nc=%A`qD(F9{QXTEx zWIeU{1qwS=qetzrC%%nLrTsMG6peltN&SKgm zRx2Rvj`AUP2D9W3ecGo6cXgYC#pIl2_M>N8;u(>3)Y`27_&fCp& z@N$O%Ixlw_Xrs9CZXr(oQX!^=@Ezu6I3c2i@DB`xv%i-I2=&WosY27(%c+k5CxVB7 z#DQl<@~-Vr>bE4&o777@5h|G$AslE`0t>)p_ApoX`s1M@lsW++68Fcu_^F##2!CCP z5ce}IH^Y#Pye>Ts(I6^|J>(PLX@2tZE>kC)0I3-4e+W--enp*ub42ANJgtuzp2x6jAbmY=g!rKs$rU%j&qBHk8VUb04fQ^$;o%H?gt+$g0D=WAl~wqbJo)iL?)Q-ds(6i>5(?(z$cUFfzrFpIMnyI7sy zBa1tt%--}=pk&6$uvQ3MD^W}?-;VL!PgMqLvZ)A%)Vn*)(`vpgsRN=X(2>co66cnh zb!70}|GeQa2Y;d|v=03DIbxEiSNv+fn2MMf1g?x2Q3wGKoO0UOT~om~V}iS#YxW9i ziXpsyJQj>&$^w3-`shX)GI56>Atyi99&U1zHT+`TT>4|!KnI;@4Wf_O@Y{Sr9iW zBAy>tR4UZuheidnc1y!Q(l0arS${X3oiw z6fmTH%1m!o9QM3Dbig%;JY-0VwjEkhwWbEPywZ^yGaqev7s1Y z$4GzIKuEY3iAb3JmF(uv^Xp@s@8o&dyylf@y>Flx-|P6U(6^f49kV<-Ixp3VoM{N! zypSS8L>k#BWFuFQE|DcZZzYGciZtd$)WOjwKXV=}MqbUoJPprj?niWl|KyB2b>Js% zPsydXSiMwNznmO>F*|=;k~lODx@PJzmyaA8dWmlr{^=={vy;qJq+b*za~5M-Th!eD z^5x^)|6+a=+wt)RHn{mA=yA~c2-nH)7ZeigQN`ckmmJxw-yQKDXcW}$iJ5>rd>%7d}Pn)fV2dN^Im{VHoyXP07 z| z`#TJPRDS7I%4}Z5xSx)_&OKM=0uZK-b?r3# zfjvZ)l3!yaURpY|*?|ZCvJPZT{WIu>Kn%=n`A>lF;n?f;;t-cV&?>|sty4v&-FJO| z^nor>n)~!=7~%C}?NZ(Fz6o#*7l)*G5M3WB|5$oa>f(QXFB97OaY6KpmEhC{#>fg| zvGE(Y{7C&o1Sg$q`gQD0Ae8SMpXWiY_O??_Pory*Q{R91`vV020D(V1;13Y^0|fp6 zfj>at4-ohR1pWYlKS1FBZxHZ$Q2+D(dZJC{YfXDw*P8auuJd|ZZG@A@jcFI3s^hDQrniV>up5~70JdLZ@ zdR8^Jdsg*$TBDx!HJ;umS1)^3caz)G)8=VvZ}v2;t|XjKxPUO-)6uxr)3d&VdRBQ> z(@yiXo}Sn$Pjk;|&zhbtPYa=&5Fp+9m4vGZUm;vg_$r}+u#(V7 zSVdS(Xd*Nd)(~0yJV1Dm z@DSl)!oL$9A^e!|DB&lBErhLvZG`QF9fX~PU4-3)J%q;yj}v}M_!;5ngeM5UAnYYP zNqCCzG~pS-vxHv~o+CU@c!BUD;U&Va2)`!$hVU|BAK?|ktAyVYen)tX@H*iQLW+ej~Y z#?{g0Zcj&N6dKak*xk|A(c)?D?(Xa+%bLcv_E>kbr>D8Mxw|d8zM-9$c3W2eFJBzK ztY&F_Akq+CysTzn%~B^R7r(S7z)8Edj%afWt#mgxwtJ#&*ET~gJY7AWjvi=*=h_|` zSnavCt;5r*R@owIZf{g$9WBvTeRniQW8D<(>g;KYw)N7XXt(+aeQW4#UL7@^B_H)S zHC)@-px|BP@#qRW_vwWRh!1#Sa)!^j{Em;Y#2Z4jf{CsmR1&TvTuz7(LWHRn0n}K` zw5G~E^w0es1y&QEm(6B&^4rcjv#_qB(nGl36VsdiyWXugH>hlJ&wo1psjE7h*1JG( zV4&g-JT7&sIto4>Z^0O^f}8F<4Gi_}JWRKOj}6Xlt{-2WsHY5vRNw z-L|~Mx$o{XFI)EYf4cp7PR(lHeRrSPM)O`ivHgz!9su07{%^Hk?Tk(ByYKGPNgDO| z#PN4_|CgrUt^1SO`+r)0!0D~(ci-Kol0LEij{o8Soci5|TlXim=jL;t|DSCig7{yW zKzAU{^M7po|IPOQ$JWof{~iMT-)R5j$f1lvi4%_ZT~vE0qu_JG@xHGhO<|=Ij`w}E zeIf6A6eh^-(9_UFK-6rw&J&A8O?pq;wXya_Ny?-DqRl-f!^h2sGQV4MDAV3@D04>Z zq0ILPUu`>-DZb`V<}8BSo-uATd73@fd9G{dKt6QrA*yvHLDHjyT*O92W&i4o+feq? zQ|ItYH>Nvkh4YT)mPX0R{^oUEogFYZ@iq)Deg3wNY+M^zI%%9gt@o3i=V?4!x}#Iu z+g6>|*tE(st9n+MxL>oQ92lY4Q4B7=_~P+hR&V^hZJhv^w?;RLWRs5{7&A}FY+XI={`?H8=hFo0iCx<@x*{j96Hg}o zlz*c0Sgn4pYVTaV*5BAJ&1F4RcC2Y@p^m0ijZN7v1CLn8+K$dX zW>2aaNlndue`C~tjuU^*ESfR>EaADTGuA=*)@JHVh&O>N!iPJ((< zXLC=-p;CXD z|I7ZVQ?G4YHx*o7y>@D2I|H5TUo@{=HL0F2InPNvo7#HYdejjlE`P;&70x1SzF6{8e5uKKvylP zTNYljxc=%THc=9%CKM31Hn(?8@lS2_JAX}WJzYqlZ9RUaIQ=7^T@F)?f<8A=b6HJ< z0s@{t514Jtm04H929wEF;M8r4QMH!)6lc9pF&H<+L8B=h&=d7b3ais-&)m8tk?{O0 z{Ql++={0^3lx~_=+gy)-O?T(D{%}oAjcL$n&nZTOw%`<}l>4087@cY;9_?u;Q#Ctt zSD4afXhs^hG+DU9pv?T)9De@_zp7r>#eDWKw~al*R84SiV|%Q5iovALSk&Ls)wsI3 zXO_RRqi2f0tLMD)&db5ow2M6=+Gra-Uc0N;uV&PZYcQnHrpfHUb?Q`_XFW`HS0RfF z3KOMQZM18Un5>NN=%vCK>{4gWdKRf`T#hxx-`UZ=-j7{nRVNGAVJno2b*zf5StFun%eoV1V@0&gB5VRqQ|NFLo$|9* zoblz_bKhhFL7l>;LX8kQV}cPzSlf$VrW1eJvYHhje0d(6MF6MvHTE`Zfy`YHj?^p; z)YkY}i%`Nwzf!2xP#iU3OVWQ+|M3dihL2M+(A0zx2@cclU(+nZ5>s!7W7b<^&r~Pc zOt@IPUl?Tg1H{fr^h-vd{r0vVX4k1laA$pDyfTWS(xf!H$x)1L?kG%~lvjR(*A4*n zZIKjo&0D&SO}&k9s2s##_#f3B1npKw(5yMONZKsMWLWni3bt9$qb=@{r@NtgktJHF0t@W$3)+I9PB z;w>B%KeeYb)=mGE)8=!&`&yZD7qr4jw*m)#d`^w7?^4OU`u!$K0CW4{6l1Y9@ZJ`Q%1{fVToc4*pI2l7-^g~^RsJCoOTTp&I2IpGMm#$%bP8CLV&JQ z9`h{BF}mKR>3xPpyRpJ9OSPS$IsLS-&eC(Z?-W1wRexDi^P0w3d$e3DQl#8p7VGF~ zT+?jWvPXQ*mCyL)Yx6z9d7j}sHL=P!&3Vpto>QEs2)5D#&hsqiIoElf?>sMXo->@M zE01Y+PvuW?o)q`iVP9O|cFrE12w`Jb6tI)ZE|E<401smetg} zI-9{@yvdc9U+eV?|;P8vgxCU0g-vMByXj=i_yhKXDw&frjyky z4i~}gLU6zAG8Y;j6=M+6jce{O)^dnaE>u+8qa)s`S@E=;$w`U4xBj+k*w#(YkG3|DlO2WNbfC+GKqCWO;!N>5iVm%gKK z=~bWf2alc?sc8t**VimvRv!%4=YKW?mNhI3*VZ&t9F;)5zOsu{XJG!a@MYm;S2P47 zk?ebIcyYKkko#V|WU-`1@29_@9sW^&!J7F?f;A0RdTxjW>X(gG)IkWiS2h)b4y!?_Q+gguu9GLua0$h zqh=#v^~AcQ{=rDxNJJKT@nUPjv)6FVE{gO{?$Mimw}au@ey@FP%MnX|hymhG+y>+nniWk23@h zJR&HJG$9^sO_~ei<{* zLULn!o8iS`zycbvoLgKMW&cisWfRbDThoSAp#i|CweSS>RqK%!*^H;d&Bzi>$OP?e z@Htc7bc{{Jp0-s?l>v(qdo&MD=S@EK!=>-%@~&@pJ!pMoD>v<#`b%Ai_?`UXpU(2d z8N$`@k^6g)r;L0N_4jp3>jrXcG@zlJ=gh}yh(fg4KiT;-BCx4S{cwZNdDr^NH3M`!; z3NNdfzij!^{IW}z%&)1h&t;nzSu%f7?lZigVPVbUnx*^%K`wHBpuXlf(F>L=Jx;7! z{HX4Q7uPQfES{fVD>^+<%X00|T^e4pG#7JOU};!nGZ#}IUbr|A$$d*1%6-)>S(;a$ z=}LHhE;$eh2lB?gaCu;9Fqb-iNo^hEG`~lIWy_X^=Pl0%#zB_nh3eSe6e?eb+6^8&?(q8tDAudhy{Ui*u8fOTKh@xF!$vatYx0 zC2myT&3bN!gV8uNoOJI1^;##2yIFsoo`!8HXp6eJ4nDERk+XA0gb7*lvk zVR_-jg^S^|_Rpt{|H82q#hzk+HBJFz_;%CspQ4XOBzNSBU;pY*X6Yw{4&oKu^zY`q zyK#E{u@k=d>qD6|@5c)x#4EUIpZ$cqV~JN#KOHfHp7t2~f9`vg=c4`WV-N-jcN2a} z=y~f<=6?}(5QYh52M%TKCmbfsI(R5^1K|;ZWo8YwItMu7JK|dl@os6btgB(ZVR{Wq z^KGxnC;35&nyp}#pHJ-Ya42c!j(tGsu?~zho$b9=g-2{4pUS)X>sh?Fs6AUz0}@XI zng=HL4h(d&j2z+Ckc&5FMaMedgC$QgN0U)`Y&D~E8kG^D%iVub`>xz0;Z@(#7w3%~ z&a5D`5Tb-z2t<3j8#^$eTf4or{>k`eSbNrf|3&ht9{pimB~cy>Sm2R+oUF2t%dXkj zvEJX*%|4Lzs`}6KJGssHB$qlWscBCZ0<|l_-5sAbR5=z_feP`%?QXVfcAG(lXZmWb z_gEgLR#;8u6H<*f>gkH%---!PTMDYa#o&lz`&`r3jo2yCSz?OJu8r%DE|51DbbIvt zM;p7N4Nk9IlZ@lkrE$7;h|_u3xAr~s{Jis&K!yxt=`F`8@qjXkT8QH_Qms~5R! zImwOq;dtGsVt6jP z^vI!Ev+T4v;}*Tr^kZH1T(SB9;(&5aF1U(ftI>A#9y*VnpPnv>3qiln# znguooe8)>_c2OZev8=K@|8thH`ZusF%;;?Yq`%z0YB;lppr^t|PDrgD&Kw=yBJPTo z;f&~ZM>E)k+O?LA(C+S77m8mtTFXL7PK0Suc;f0iC-8o4GmA{M&{2m!@zLX-DkQ+( zmETY8PriRWzcS$j-;Ki=H;lbuICJLBHYo0Ag!i2E!kdOO3X0cr+ATJyF4x>+u}H=M z_*)Eb{ANST&6cV=_YOhLjze}+jSYJj7PJPYoDbLjMA|REJ)9Z-`{B%|?i$XV_MPF( zIp1aOV;v3PX;-7%0y;a6#iM+B5bJ7^_G#&O&rwB%&367=eb}|reuntr2O018ZD_xD zIHT~Zf3czbUxzaa<9{@q+4pb5nHP@}688^h>Zs!~!h5?YPdMeF;mqlT<2_G$csR50 zk>O19k8N1X^Cyqm@X{x6RoW)i=II+hbo{>^aa8^0dTT>kuU z=4!(6p69$UoZ0f?aOS|TYcC~1tQC9>}xQLhJr$f z9?-COc_d=rvf{UQLdlupi~7?M7G0si#Vw|d4JLN`>pia<&kCKx=4-E^50QnmZJ&R)-|BPUycf#_u4RT zc{pMsjB>0LtH9{Z=s0>YBe%McK7%!pz!f#Y@M08}#qxPaM_RIcS>6}ASX~3EOFjg= zo=6gzK>-3ln>l(!$*g5Hz9VDyNb{v$2J;g-$TWHd^S zl*Gy&T#OS*;*8Tzn}Vq{DhIM`b^g_wANf(3jU&6eta)wi>|AT- z^jE%-%+HEPGOzM%;;Hw%eQfzp;LjsFt+NGXl&0$AWU0=}WcR7mXUWlePIR7np}uBueRx^;%9^14=1fZP3j69TYx{9|AiT`JY1@L;?dAytod&!opI&}J^(EQ! zd+LYF2lej4*6okctF`lH^#49~hH&;_H?p}~&z|+LAd^*PLNgv1L%I_EckkK}0 z-`=&?yQ9j_=XBiP*V>6j=ZY)ZSi82d18a5DEPt+XM=Tl@Wkh~Q?52TSX18X!j>)+M z$kydV9rP)ABw26$S;t9P%|1+YR^B|E=FEfiJI#me270=9cZT!r>WA(=!RMWL7YE#R zEPuRcXSC5wz1^3`wFf^Op@93BQ?zV?_1Y+7Da*f-KWBx?OUeA%WuB|TN-w!@sUHZ( zMvcgaR^GB51x14w@^Z3ET4}2c;$dmwd_?xssz3QP=JhNO&vJw?zw5bKJBmzcT)-(H zvkn%Jjx63Elh3#a8t}~WPu0A*>(ABGUAiv0UFm-3>Fz^KbH0@}uX2{ZW+vf+^JihZ zo)x}u`Yi0zv$SrtuhGC+oOZi-t%G1g&+10pL{wJxt8wR!WcHl{Z6-WUxR~!#33^T; zP|taJ^%!jr-MB}GT~H}a|6F^H=<`weQ48da<1~7n(*>+LYF~Dxi!Y+Byl`#RNapBJ zPx>h{M>3lTO7rpEPSE>H#QhBcIaoS#bAxe5?J@ll950$Xl2P#GJ@x)KvurqP&PYb# zgxNM1J4_-Bf%JZm252yZ5`%8 z5u@OL?y`~0m4v?~>?3^X@{!CM!ru~}A72Z2o8TfYQvdNQf7|CoW{F3m`gfmx;WIl49KHhO^vfk2me7d93(1{P*M>6@L zymKUTbnsm}l6i_Szr&{elrm*}E8jPXyPR-hj}5==&OPfHOaFeFH0z`%3JzRY3oEtS&A^fh(3L9=yzlPn+Dt* zH7uGJaB)uu$oi&id$ezCT9k2fOiFBWET6a&V^iaj(uhOL)-puWKXLDWQ?Tld6`yd~ z=xnN$u2<6T%2y3mGS6ZIi&s35_?rGf`i1cL;yhOMO)HyGR$Nw6#-?)XC-$VDcqF(+ zeT=y1!WtEgn2it{vih3-NpI%ivC;lYe<$(N&yE?e>+SFZz2cv=n*;6nV^N!a38_my z&N!BtG>WJ6D+3FQ^QP0)h&w5ff%cj@tM{?eB~==G_L@^WAoS!X?xbXW+jw$vt@m8% zOBqe;mp48(Fczx4bIIIw)NTcEpSuVRJtg zb|o_}BFneNr?9jkUB09n&9oG~+CQLmhtAEp6}`lyG$v^HGM_wZ1al{{y2E-JnS~mw z=U6P40j=6!A>(hXS+*=~>US$wFaP80(!&a-dlH$B5Gw&KWt4XApQKyrGTj?ls8gPl zjCGUl^mP3tg}I+$NwQuf)?Pj@-=cUav)bnLbTKz8>usa-bS6%s?Xj^}{4?WJGcGmb z4XJlxF7=7{q^Gl=ip>%Oy^~BQCQn>$>1{?oVzyK_y}nx9N%;z;UJ0dqh2}XCdAL87 z;h8x(rD*A&|M||$%4TV>(Kn2}L&ivnxxGO`c_i|L+(_gj$_FDKkvkZ9a#2s@qYK03 z|BN2|k16cU|Jd0*P(){UMIN0qWQf;0CU*$-#}p31yD%5E0)9vAC z_ZzNnMze2ontiUfqNVd!%5n6d8s$Yyi>y(*5h53gKKq?yn6I;2(jo1u^#Xvdy?b2r zb-P2X80@F(Rh>*E+2+hcVS%66llM|Rj3z<7^ad~SUi+xc<@<&|t&*fH)|92o8hA{a z|4IHZ%FCYGS7#2BSZo;UE7fbb{y;GW!k5fokbW}HU@h2$Jl|kJJo5)3&=U$%IZ}Mx@ zRK!}u9eFh>w5Ud1S5Tuy71pRNQ)-msow1}~PFW!>K)S3`PnTuuwtwlK=@Cu=fIbhJHr|8DwY_#<=Wtk50hlctV}XZew> zFAH>W6wvzeu2NQ#M!h0hS4Zm`y!dJIFj01}vYDc%9gygoc2D{?@k5>w?L4M*rnh?^ zc^ACi8=IHsliT{dY54TDe7N=L&ucS%*o?xW9PbGEE-%x&GL1AwKDK$&9N%1HWYq!V zbqOFUyL<+VQbek!#bm-x0_)>@anWWDWqdJnG{rN$Niy{<60xqEGcEJ^!i$wxk}T;p z^~yXS=KGs@Zls+Nd(!g>P5)w^FY|sY{lilWYScaRYSa$I1$Wh`k%&EaBS-%)h$oR} zAs!Ze#3l1<)HuYGhy#dgFdK}$I}p5|g%9?+T(>+>qx#<4yr`(CQ6j{A8)D{t&D-mL ze~l8+55K%weZ08?a&PEc$Y1|{d3qdA+@+rzhWT{FO2oT}lZdq8jQf67qkcqOun<2G zaR{utyxpXRaOx4uY^=tXZA-ecbQ5EpUs8`G-$dxANx!Z!Gp?Ij{i)Vod~ey2=fj!> z&0&h4mpXc+%+gY}fXQoKqjl5zh>=p(F3aG-_C$tsqGh6!uHE9N{CH>c#xo@3>?GSy zYj5r^^4WHu%Ve6IV?rf0-+(y=dBqD&WQvdx*5RybXo@sEbEX%PA&P`0)1U6y0$Zyxkzj0o5$ zW4y;N`APiE{O+~LWe=*}VQcK!8#KE4mcX0f*lNXlyf-|b0qY<>uu5tz|709%etL8{ zEwixLZtt|(@y0LVi@5la?SJ{H)!-PZy}qGOS$KYSw&hUtGiTZ}m3nyLB8rhLJ+m3AzAbHaGC#?yqLvex~?V;QHIX$tAevzHVzN3<65W#6z_`<3-(eg2z*IDh__W4L_IoA`f@bGnQz_Vc7CB#nrV)`y`D zWNVszsteDpGzNOvns2F{me^-DSw2X4=oclMP3o$BxS9#=Z1SeSfQwgheLz&VA+r5S zH|@XhXebFk()Ly;&x_-GxQzPQK4dL_6B&&WM=yviQCU5cI2V6p+-N<{Vx|wZb2yaw zQ_G(8N3yogt6v*)T`Q^wz>Em-pHS8 z@P{U?yma~;om!MSJ<`5NSOdNBN!FOh(wFfJ;~g=wW=8$7mw8dNn@*C%t7M+1~LX;Q##U<_NP~17#w%o~j zKHWd?y^@dpdK+W9j>uVVbv$H5qw^uVh)=G(p=nwfswx zR(#Z>Bhu}Nyf@x=L9Oc1s#bl}x>oJJv{n_iwK)2cS~cLZT2&BHtJYp#t3o?ja#0)c zVAon@#63N0l?X9walIu(-X_#cZ4o zC7dzJ;=^Mtie5;XUoPrDVQ2Yh>TF7_>S%-*Vl~$T%_Tky;hD#1hLLa-;X(usMJJ?}Q_6e_B?n{((4x_!RLK;zNW^ zr>wf-3q!h`NUkdqzcJ?ediEryN_5FiLBU7{Ov+K0aA0 z+1~3nOGrr2JBn?q3G8&1-NlyG#9O12SZbs9b6Ps=mzEvXwqnFMTf#2d#z9)=Ii!tF zvn-^hvZI>s+?I;v;rfiyq+ug1MSLorB~l8$e6kk7 zTc&zFe3N35tR{kFjFi8qeBoGMKchM6f<>)qDOO2ZnhrZ-M?3Q;HgW7|@^yq&fkf4) zuwy4kIoU<6zu%ggCyK_&6rDznhbJUO$r=aSbFFM_fvxWLW_z6)ayTI=DcQ(lDTU#d zg4~lb_bGhovA4MU)hS71{Utm?RB5q}GLIkLD8%^TzSQyR$G!p`0t@S7C&b3c8Dq9O z&6#KHKK7>yMWZyCJ^b3CeU^bQc9J?@fQcM)=2!5o-Wi5`yZ0F;C0pkLXg`zE;`nOf zv@5TwChBrng6}C7g)eMTNNv}i=#rB?+P*X<$KBeYU68NYQarv0 zi-td7>&OMCp|vkvJhWnCcB+exKlV;tpKo$!fHU#drujy3V~q*f;R`P%mLnkik8?^= zQkpLxQh9)Q_|AIfE&WDMy`|--6}a-n3VGv)A(ee0jq+DC5@MSPiNg`~X?8j%&TbRg z;-Box5_`YDKUnKD0_tnL)gGiJC0P%N)KGi3zV=u$h^EGB)W^zfhOa4>J^wx*$8uOt zqj+-yMU(ar9iMgNjni!{leZfTlYhSMVSF5Ignq=Mr1g@@=X+kXjuZ|}BK4xN(r3$b zj!^dZU&~2jgIo!&iR|#7?q2R_cZxg9UE;pSz1aPf`w#AI?ho8wyMJ`o zyMqHS4eT7)H*hFB|1$%N0%ryODsWlgvw<4}w+HSC{3`HRU|rw^LG6RO2KD6>fP|o1 zgQf=E8T4S#il7&Qwgmkp=#!xDgMJRWq{VeD`nQN}k=7!!#hez4TKu-fi!I)0@wXO# zZ}G1dfi2s$^tSBNa$w7mEyuUaZCTp#zLt--e7fa^mT$M*)AC@;lPy)t4vju^`^N|R zKo2R6R6QC<88Ob1*xj&CA>E5s42w#QkERxKhKQv$U96>|)6G^Kt(J`^f zQiE+xNt$0N9Vpv7Mp%ZrJJnKOCu*tm+9H3y>ClXkCpJ+=O}3`5_ta`SEZs?Ih20hD z&e^Hqi>cMEn5=SiGTOFWl0tKw;7H@>*wMpi>m|9S^|loZFZ|*7oW?#K>~zTAe8 z?3(1_7A#kV>o=}vXhF7fPRLj6y{mTx1+)vehOg=D!)-SgcKxtF=0p%r<<{b%ry`fg>M>w2NC$q}ufnu|gF=+V~Ws}*`cX~}2+?I5HlOO1}>93C-Ah#l@TNno%| z7U}L!o5iIXNAl*Tqou!hMPbt_8F`$_^xpRD|HLT&GNPR7ZPhot*ggnyP{(+!Y z^12c~!IE+EUdpW|`zbvCFiu7&mK}$t$-k7?krab0{}n6N=*)zx_v=b#TShWc^BalE zGy~e)pCc*pBjS8HXNGiQ#~!BH8I;Od*Q`C&gB@+EM`0%0%-BksFwQa&wuf1k_HaA- z5`PmldkdgX$*4;#JL76vEYTm!pr5*JNg2!g>j)qJjVDO$aP0;mfLVd7U16MQ8bkXu z*)`QQ*Y$wwQP*nM%dV|@gmaAc>4Jc^0apd|2)H>QK45%60WH+rfCmDe2v`%aKH#l@ z_Za7V6Y$S~p96y37rVXg?(Tl>Sa-7fHun^Fx%)o%!|s*tSKaTr_qh)<+WFbtHt>qT zZhg z>^#;AX?=80XQ}AF6In+eo0z24EN5?|k~O}%AJ(RJ@9OhQw+;H(T5W7z9RQ-V4mZWwXKjbyg*5(;cw59lK zWHL5qAGQ<#%dL}Ac@t!|$f%un^%G*loNHe2|)&0CtF{|S9Rd>|#hGiS_ zHlg=}`z-J@`wu(wS(0}yPP>bjL>tXyTf*?dl$(77o;*t0&{z7>RO)lkw6;|Zn&hLS zE{Qa0_;9*D%Q`RK{(2(&DzrA!9qjd&AtDhrI>YHqVp5xy5mu>iK1=p1s84MV)r=py zq4gQ+*HLXGh3E@CIgW=zYg2ISK~A#Dmzp8&J_T9qlgrGNU%?|M zqn}0^K80jBLo)3j3;Saed?pMy?cUj`B@-votNZn2#Y!V}61yav9{H>$`D06vre%{? zd}p~yN28rIEhl+DzLHZ$`?+FWDV#4+kQ zAmyinw8pX45YCc2&-S!*tdZ+jg5WW-wDjmQhUU&v${@pGNPo;`F3U(78%t*Qz?MR{ zVl-K{k$!2myjI``k=RsY}zA>QpnuBx#X?O0}d?;VhXRTgFEF677&!`@4GB z^BehG2Q;5E441o}rv$gVK5*@K9p(+?+&^FbNrNK_YeSjH?CoThrbFT1)+R=@B4)#z zgc9KCcwNNc(JuK87o#^Gj%7u*@9VH*JG9tHE-jpvSvp5sVJTDTbZ#BAmQl+04zn&< z)*qkiCq6sYy366qd=YB26CDXSJkZ&z@0^EUp~~iv%WK^Gdp5B%SwK+*f~s8vQS4-7L=1LHW-@ zrfxa6fkf%=f3b2y)3rJ%@&8JMtUGkxvnu*hE{)eCqS53hb(hN@*!`gwEVGDPS7Yn! z@YI;$)=DvXUL=Bjd-Z8zVh)0|ZMd2-=G;tctF*BrQIPn_ej}l*Clp?X$j&V)lEvc# zS*S>TWT&CEx>C%|z5-b-*K+WO!dY!vD-OwxfWm~XM@?;Gi`DVvA-4_pU zcb(@tEb-#{bB6C~U2>ugH5j4fXB^{WOH>df^YyD;FfO zS;CGY_eaa#30-;hgJ^l)xPnCVX{w%kmd0$qj$T46W#80YGge3xKWBc8lW6E|M`_v% z$(FOyXE{5syNTy)6K6Xw`h9Hk zzBX^L+23Yw$O%+Ad4u%-@Ekg68577)j;6w360OOgd6d+kd0hE1=r%U1`EI7N?9n5c zmf4G`wP0Bq8r^tU&9iy+z=gysT)VXXiMl!AuyS6hxRo`y#t)I3HM!??Xd4>$@@ge= z;eYF1%A*BB#t*;rCt*r?klEoTA30^#diptBR;s#bW9&QR=t!gykVe7Yf0C1@J4L$= z=>^-quCbecUzfCZCZA0F#GVYdyt1oM-(fhNTjec#(vOLKX}<<~`NvPxl0S0BsDCdM zkAn$NEb$Y2(%$9L@ll8UR%N(mx1GkGY<+qCyIS?H9~z6$qqXXUL;u0=8=E(^|DUME z@BhTU)9wJ=_B~ds-f2eMhu)J-^xs519ub8Q`7aUEu-BabqW8-GL*oDK@Hr+bH%B^X z4oAz+6_M_^^~9X*BDKh>Z)Q9%y*E{x!W9)$-qr%VZoa_1pBj@?OD4a1Ku7SZO(9#y-5uoJK4yI1g>9|CDgcy&iY# zH!Zmm#~0Jv{4urc$@oRTk;$OVXRo{@r@RosNw^&UTQI#Sr(8B|Gl-poWZHCgZkCr# z&7DLJczz_#thzcx=dSW`WI=>B)!tM3C+G+8Be|Ds#8UX z1@1caD#8^|r$!*b5;)SZYm7uKm` zh=^8oY6@Zv;vk|UW{C)Wq>S_Ji(w*=&*3piDa?$F;5(Z!<$zDV zwv9_lNMlCC{w61jrewl~=@a?bA#=mA`b=@QvC1)L_TkOR_i28mOf= zsx^`drycV?AfWYi_LiZ?d3 z>KOOl&dSZ0D}J_Jhe%*``* z#nc*eZb)Q(Au~X%;L!OXMq&8tH{|}$QmE#GTJ|Nim5@ ztm9HLs)u}cm;MDUK9+Br4z^Nsd zanj&G*CYDVNyt+weG7&!@Jfg5lOblgcEGJP|s1NGh^CIdnm1R zW~rGxAzTUH2${dx+^tTW=`V*fD!*>5Z+3v=kE~;=^i8Z|?TMz8@QO12mR{Q!#CEciSRk>FF;T1<@B#pU!yPbYY=+h zB-u8VBV9`T3VV9sERFQ6={a-!y4IYwU!7T+UBJ8mr+sidA;*?UflQV2_2n2YzlQ7s zU_4Y@?$^pJFE1_RFg}MfpO$r!e=~ONll~>2n=@s?+}r@+H`aSAGMzzN6B*M>{dTOg z5&dd&%d}rdpYiBd$~%`|C1Wzb${s@bHMqYo(~%jb*Y#V_M~(WGg?ZMr9+NG8&1^Zr zNPFE#n~A1obd9|xr6)akdR8C5r&=R-KvRty`Zm!i>EEx34kwT_(b12LUynN+wC_!{ zwVrjRkd&gc9JLX5aLe2t$Clfc&i1jXm9uSFPW}2;MD)>cO*9*qqqgUJQvFsZ6Yw_)+WIDTq{JPgk`_r_4 zHt+Z5J;ls7nt4|<4{G{((@&fEP;)XJ8a zYeJneq8hn~FPTL> zK*Y|VTo6L1$V4sTE`-=Cm`PbW#8LD`Z76m`-XFaLM1@0`u$(-B`D=()xDz4j6^OkE zvDeiWA|Hlux|MK@xE(ViW+9g&Am!fAUNLeIbd(%>&!yB%u*%n7$tS-`zH{oI7<*H= z#UPFMPfk6ek>`!f`aUE1>zp@5sehs`<8R|N-=*ZVdVPtGKM2cibN_wgH0BJ<-M<6o z)F~114vM^|ttWCLoc4^nt8I6NqAzmqBzw4Vn|G2mqCg@;31E*Mkm+6#lKODdp`k3y z^Qkybf=kgj0wNcE=^%Y3sI5L7iJmdB&vE8tX6tBY`<&+?-buP=7k~BVjp-aNImz_U zw~b0oN{F0gfXxfgNah#bq5jP@Cdo1HcQJeV{Gp{1!p-D8PzZNIn8G|WW* z37aDSaY3EB6!FR3wAqNZh!n&w>|})Zu7b`6pe86*WgNOF1*FAlkS z9=XXq=hE78t5+_cob+Ynjc)1|f91P{JU_ESh0!bkpa(aSY3}5{?41=1{d)5|)e$j0HYb%1j(HBj$89`TpLmo7gkY ziy4oIo^j{9_p30USX<@Nvv;^Lru!i`iYQ7kHZwlPRQ5{q1m}}vj{4=|%Fva{ZA% zzdcf~U!Jh#&%b8;lQ{VD*S=3kvQ9g8&SN?K@nxkicJ%N1Dak6zES{?Cp&2)t`5l?> zm9jMLnRzcZ^;Ev&h`EdxgxA>&wb>1tjB8vzcCkCmt-@$@+oiKube;FtL32c?e#_P; z(nfW~X zs%uES`fK}oMfwYirst>6;+03_o$FOT6uF4lP)mf;k}}uN@nAEkU!gNfEH$pJ@-fem7xm&B z>XnH5d)KRrBk%_y^1mTo>{G9P+qYgBchW9MIn$NOje&F!W#UDnAJrzE*+qfu%O9ZC zviKJbnY|won{q>6QRt2J{rhQi_I9Derb@jQ*=X?rASuOZz>KD7H3}~v_~OgE_8AZ} zM%O;}cSykIrZ-X8SHX$YEPxI0TrN$T%iG8&OCj~4D}slMyU$p->AQ|s-z7FP+dj+8 z8q53F%^9!8PKf9;aeP8tb7On4b9VcXS7sehA0Cd3l^gs&yJgUt%{31aU(+tgygtvj zcw<&*oWETt_6|=?vl<5R=O)B(V$cf1IiCVP=H(HbNRV$FFI5W@n9)mNl9WFZsFISt%SMH@=j2 zj?_8D*#(w5skms4txV)pz0|^K^8S~d6(!xVUgngY6lo!o(Dm_4UR;pawoRo*n z8AbX9(YD~%(D`iUhfIHM-dD_eH1k}W@7Yox?w(Pv8p`UG&?#;xuUAtLPb2mr0x<81 z7>k%$QaF|Y84r1G4sz*Xfh>Cj!?~l^mZlcY)jFgBg9NN6PDc`xn`c{)bSKjp7s_Hz z%VfA^S!QZ7OVJufS3O-MqjhVABr&&~|H%y61?IR)(vUxBE(~er){~Y3vD4jaQ-KY zb}fl4PqkJw^Rms-kMcQII%Gch#`|e&E9#X{#B7J$=s9`&Im{Q?`p?3z@#i-W{Cjae zd*?GdpWnaKU32zsb;McBCHt5L<=|@ib1N(5L!fiDuP;NTe6B$VWg(`+zEuv^mv-^W zb$Tg%7UJp0dHx*oh-H?ZajVDRQU~s|x|>0FNo8nc$~xteLc5yMvG~K)ZnY`xVVJh1 zp8;)&IGpW^v1dIc|K6VU9Q}KH){}N_dpv^}hWYB~G`qi$Dr|ko)ya_O6nkgK+kSYP zGm>YxSvS_B-mH;%{?9fv57D&YdLC8^+A44Jeqr8s&3mrA-%5Qj@5$!K&VJ6Cx95A#&W^g{*=g*y(X-Ro$noqnHfZ$hG&XAT>^Kb1dUoWw z5HWUby&C@<;{n78M4#vDRXO5yMC%voRTAP8#HHAK6t>5XX#>i6ymj+9t(;zZkW<^y zMiU4>2}|B{u;gXzSfu~S#Q|Pv8}%{MqOEm|efd5rp7H`M_Y#(-XJ>i4-&xAC&gsR2 zSU#3Dh5r(XL1)?Xce$2z*HxPt2W+TULZ`^sSg%Cv+ElMPBmVwsy}AT@(FiMSR&M6% zkSEFOejfASe44Nz7DSd#RAkD^bol8rWy(NqSkv*hx}J1%%%qn+nQ|2hvQb}Rs_s^o~Oy-VDj<;td9QLe`CTZ)p*&#?+Qj}$KRi3=ZYWq_D zJrQ=S&hk>sWqw*_<3uiFEg9#@7*E=FnH!Svy}T>Qdy~8yN&WC5LJ?sI^PRk@+v_n) zLZl+n5R(x*5$6g=-YevtLBf-{DS5w;aOM3?{Fa}*cM2nVHGbi2`o7upeY86h#@2N@ zOrw?Yok1e!_=&lcQ4?*S4T-b;9O+h%Pt2U{Szai20YxRpyJndeB%h1MlC$S8`2Km$ zTZOGWl5`LGs$N}=2tx!SW+PH;=0f~+?mN^s)BG>*75?@p{j5W@`$xTMDrzx*^9SC8 zk6C7$QHP)wdv~KZ1R?2{83pTmOSqOx4pHw#yHUm0(+|zg$BNa)^DfVvqMbwpR@SQ? zh%pH9SISH3aBNbH+>Pl?Lg9W*^q)dj%#=di2Rs5H_E#)QQmi3Y?Z~i;k#9Zbd?EQ^0IbWC~7JFsW zl5!86H1*tA(^N~`$-Ks>HVsO|@b(Srsdj$xF>(>2U*l;|pI&SUQD2LGfAmCt%OwqJ zGeWP6wm${yuL$@pKM6;k{i==)N+E(TZ%`K_u0ULeu;T6e(x~?cet2brsy&bR#ulPZLG(w6d=vujT~-~ja#cUnj-K5+m8v6_ z_(^=OMI6T6oUjJ9s#k+*8Q!3NjcD(XJN=WY#4YRoy_`SJXMS{)N;5Tr>&Bpzlxob;{Mcdh)n&Ib70?Jr*gy!mqrOS;+juUKgvj6dRU zZ-m6L*#zRM1XoQz1V|d?S5%lKtNIwk^eUU~l3|K`-}VoFyxqE#b!+7^^Bjl0HMTs)p=aDJMNgkq!;@E5K3+a!l&0pE z%bYvc=oB#{pWFTVF!@H5uJ1xlNtY9%IDb7sR~WXiGiuE7+LyM=K$3xH7)urSHdvhB zi@MaMtV^~CEzuR4Bch@bygJk{S>9-GTw`p&wxaCr*UJhNUu0sZ70TR_`P}04`oHj} zv{2%kpgX#A_?kPDb!;v^n#=u!F81N@{zRs@BzpRv?9K7%<-6oA#G*M7Hv72bWs>t= z3PrFPLNL8l{__edh>(AMX!tRcFT$=_7|Z%3R~a6&r(6f3eX%-bS5{#;tq7M#NUU6C z1=tk{8yoY5S#!#BSrf_?gW5>P)B5h~D$gvN>dNCi+A8+PRl5rY8{clP)z#% z8QJBuMY1F@yNCtNI_af(yy%hm?5Vt7xM&3ONiqjKur0v3Pr%ZVHX@fAkZUP@;c4sE zDp7|;LeK_!O`z?lA~;+n!){* z`FzOYb=oRbpN3?uPhsV;PbqopQ}}Y-r(?3;r=iQCJTsr2tyB>m4f|`S69yi<6UWecaUq7Frja^0bo$VzuT38OPZpqc0JwoyOFaD5H6Pzq>kT}e+ zV`9gm$!Y>i_wddJ^(A6AVkhEHh)sx>5icNCAeJH?Ml3)yAWkEWB4!~<5E+Q^h-Ac2 z#7&3@gcm`{%qW>^7fgQc^l4t65?U|$M&vEcW{$p#l#mP`h&G)vdvddzwR2sUq8Y7R zJU!F%@+=3w(kRUysJ-dZt55%YSE&YRZ{@m>$4iX7*^Ifhqzi2$#$`qGfq^;n}WS75#r!vk=*cB*ZO<9*7PIH{!&NKdUbg?;%yHWQ}P{Qrb}FkzNO{;WP9)L5i9qu12VMBJQD zG_~(kKlKazciR77$bZ#Lm>-k=?-5S_>zk;ZW+HFf#GmVI^-VTM*?a{Sj&J|#R&Tc$ zDsxE}F-n&?3HiNwVC$71LNhPJ9f@pI)CaxkR}8k~>5JTbHwCkwp}Zj1E0=xyhrKfD zupN0vvkH~{4S5_u#%WMx+{ihH)wr_h*(}-0)GJ5zRukVllDs#_i?z^vFOv5!YY?41 zqf`&3WoFLSD$6a(>&1mgJF^!fc%15=btaljh0?ck-|_6+>>1Y0-7+fo z?uWfj z2cs-rb@;L`{x^y!gBxNjXQ52>lAp4VMbAH2(RJB&tx*=~=47wttl75xc=ydSY8&D; z#LI~15vvf(5XRQBhzn+gWqMA$G3LT2FW)ikf=4Q+l-&4S_7BfKp0ld&!Y@5*wj7vq z^$(vMTKM_iCweBlcHvv|UY&nI_?GW~bJg2Ra@xIq)lc5_Q{wLUd{TNx{xcsP35*=EFKE=y_qW+Qb#trd>eg<)v1s{*VV}PL=9fcjx32qp z(f+-}Kyz4;M!7&TQe73Vg=AO4VS8lrYx*Z$GJ^A<>AGUq& ztu3pzxVr~0Y>~d<^VaW%{&3N&znV9__r(bX6MlbH=AQcGsFcYGPJ)w_3j zk9ME@_~8Ao-f_+5*IGVzd7f1)evr++@)vgpp|0|FCCE#usJbK{4N66?j8>LaUeFpV#KA$U9mj zzZB)})~?+}+aBocO-MSr}p6O%isU}`p(PutlzZuv-d6= zwDHz^+HC4|%jCCS|3lFm+r9T~`R8jJ-(J!B@N0o9_P$zv^N3H5y)tX>Z!hioWzc;c z4wQvll>PULTXL2To-(b?+KE%eQ<2KLXG8x-~DA2Yrl`*r@>9~T$*nX|2M_@a%Of4kzoysfMEPVdtHa6!uBvs&*8 z9C6W`f9&Ay+`DUwvDg_>Jxk0e3o`! zP~tlq18>gkn*Ty|hm1X=M-;|?IjeZ^$SEJad|=|IpXXil_0W^I?7u1X<*hINW!0Mp z@BVPZh#%DEh)zGf_0~i0yz$qF$2O)u|LmsF7XA0U@mR~xKDd7T!L+yYKfkWm+`Nrj zMrQ7CUs-V5(w@_M+_deYO;0aw{pTycZZYxUAKl@u;C8{m!5xExg4+kT4(=4}4h{)! z6?{c-%is>dp5Uv4S+5#=VesX_ErKr%ZWDY}aA5Ey!50Nz8GJ$TWx;KOuL=JD-T!|) z|Jo#b+Ic#*ZP%v66XA&n32u|&@p{^YxI7CyLp{kML2V{@I(l3o?c2=u4DbvMY2Bv4 z)7|3@3HB`X#CbA8+-=f4?LD2^hO{a7^z#e|Y1Jmj6YA+066C4y#CRrzv}`lk)5#MY z(xJ^fPoyU<#M7q86Xxk2(%Q4gli(=`322kz3GrOf_To0Bp1z)bAs4pE@^tpJ4{>|$ z^$hc*g|uih(Q}0-DCE*Mb3Fq+F(GZ*O!4&agod>8JmeYa$q5N;GtSe&b9LKG+RXIy z_e6$V)F#i<)zc}YrRM?9aL?qB3)Y^kU-CUo@mcF(m%;_rKct7zteMz zXE^Df>gnm}8gh~6*PcpL&-ZlmTpeYf+Z0Z^|KXY|UNQCO4{UYO$=kvP^RI-J16XjDdRu3pnia$LP< zl$G{oaNAq!_x3sH?XqIhyQvLX`JGvAo2KJYu90VEWtHa6G%7t9EHf&`fv#S29ceQP z%LCiQ_+TrI7q(LT;1uB!*R&bM0;U4=1ihED#Jc3TV#Y_M3}@`hPPaT>CR~k%$6QdX zXCKNKEV~k7hxO?@z$-_8iA@H)Oh@(amtKw^>2lkPtYR!_JX~$$n-!G29=HmNXL4=@ zrw8f87wXmJ%)92~x~$kUF3w|BbW_jRtS2cyhpA&+Zv|yW_Esp(x-#Q#JsIaccM@%T zoEIhUGDM7T(_|xOJvBPwwsC~zoGg>h^Sd)+bYowyXR*(BBN#F`DlJ`>pQLLO-&rQc zotfaYUM4uts+sH)yUYwSH_U7;?>;&w?J!JEneeS6wx^fQvEM<6gVVY_`^txTKH!w% z?|^_1J|Cqn`fmKNCB?Kcnu(&BO5DxgCq0jz67I z?N0vZV(Y*BZeGEU`TwOq=L`GSgb@_1)UhC?#=`v14hDdI2n$B^I#@i3Gakk z;p6ZCd<8bZe!FphBkMI_B%A^#!y32%zVRX9!H?h$coH6g^Y@%m!E7WP`q3#B2CHBK zJhJzcDuR1IKBX4HzwA4u*1;{GoKm~s^Piql$KjKool>pYsC0Ng?qSLoxQ8RY#67$n zE`oQ#b?~ACr_>(!0jz{aVEX~A4g2bp>IZ)VC&1K$q#NeKm2e*13R``BN*#i`V9?Dj zS1k;MZyh?N;^3Eu2^Y>ka!M_MSA2U)ZGzv!{qVl;$S;`u{VC<;Xz}AP2LAErDOCWk z{ek$x9Vdt{%&#Q9aAY0nh2gGB)%q5fYfpAvAu8~$=bNSxQE~0hyb` zC)P*qf{}3I&6O$xb{bfzDqs#=3;#U0QtgDDqbt=>7z2a(px$LfrRomXjjU90@ZrQt zRRG(kRH}vW+p)NZuic7!=$c5p;g7cw?;)J!l1aQ_%PiszKg%WFaBU&!hCjje@SACs zY7g9VN2QY8yEU-gP?zid8I>vmu9#D)lHn0p4nMxDQZ0q=+(WwIF}M$oy_a;u?XXi6 z<$GVH8Vai_Dpd}Qyq|c(ik0Ly{4LxC*TUm)BWyj4HHWK+H{9|J@rM6=mUzR*)(~&F z4z7b8p2I!779NM2U~86gT=zWg;ZraHUh@L(Ve(qs!=e`})fV{BOO@&fd<_Q0xLn`8 zLVDrk^_40Owtlrz&4%~FmGA`I4s$l(4t@cHSzD64k?`P(&EylD_!{{HuXw#uEr$tk z3!De{!@Mn(ssX+MI}OJl7zrETWH|B-@(E_awQw2S2{*u_aNC=ta|G#li}=Elw}~&D z2g_mQ_DZz|F8x!b+5r#2BXGw%#5WH0-zrr<_}T}!gBg1()dKiXCHV@ER#BdCVNIoS z#k*X~emcE z;HJw@t3@#7iqmQftb+UD%BxSS2Dlq`V(mr)jD#z$Ijttc0p8PU9?XL);Uc&dz6=k* z1F!*}ft^?x>b(~Cun1ZCC%VAw46gMeSzzTQeWWGVYr7=qHzyjf(PIs*Z_k@omSn)((l1IxCa)%r3uti`21+< zDck|~!;EC&4f~HF+;R8=hr)YPDQCDGR=_L9QqJ&GxB~`{qnu&Ec=BsJ4g<@Ppf@!2dsoacM|W( z)OXkqZh&d<7%Yd2?mDfOL+^az4Kv^YxEeOVcVVa7$ltq3H!OgYq30gzHCzMNz`bw> z47rzbf&*dD?X=G@6dr~#a76|61NOV0c*7jH4kj+7e!%^(0lFTd9Z4tsa41ZM8L%8K zfGgo@nDcAe5x5Q>g5HM-H-qN`hQjSI2A+U9@U_LK)j}AvgmB^VN69aE3|7L($H=ct z?88WSbSduO!N-X&+`H_w+63Qyf_#EomlIwV`T8W~1CPK2n6iTMfy>|`_yt@CuXyUT z+65=V<8Uu*olX9%q?oJi z%xU78$MalGI${4h!h^x?DpdkYyj5x?Y!_}}=RF&EebKoIZ z4qb)R1L%cE;80jP4EL}@H10(WSHl%>I}C}zJ?so!Q)sWD7d{Gy!oIP%hqK`VICyxK zS_==tov>sC>4r;S@Kns>s#JHF0OR0%SO7EPtJEU67OsOQ;Vw8}WR*G&7r@p<)c;Xc zDgsV`$#5Yofj_||VxCZ?)2n1y_!%Qb*uE7*tFoO!NHSo2Y12Q@XX{YwFXYStxD~H%i$3ioKAeF(@tbi z&Tt8w03XOCJa`hWg#EJ!55AmBKEcif)Q=L>Q^{YrZCaHof-6g@7jS+V^#U#~r(ED( z$fa(spP(1EpMg6#8)m?jumZYf5>J>0cfe=h5m+#b^p(0?akI%6_!3Npf1N}6-~qTC zo`74RYcBN>hQJya3OknZe82&)|NT`e2R`sH&uYA9npG_3m!kOE?tnJ3)PbbwBZ( zz(uENzu>Ma@*D20A>Ht$2Fhsx?p@U?0`?84R>^QbEPUaFo><9bBSE~tdADjpK zkE~WJ;ZC?69v+1|*eikXDyToBNhh2P)8I3(9G-y7;Tws#gEjCF{35AZx$dXmOs-a5 zxFw}p4TV3!47enfbi$=$Nhj<$p7_GZTdUPcxMw2qeSq*L5ns3vrokhy90pA$f8lJn z3AVhA{DpmC4O|R6{)*=Y4uJ04NiPhAv*B>K92UVX@KLxQZiO{)S$ehVw2*kiNO%ZN zhVBgV3yy><;aa#Iy0S<&{0X`qq~2zeZrCoDbi+I5m*3& z9-@7Lp>SA!wTgkC!W`JKkbHwRa1Go)1@~~vRNTYmMY#Vp>4u>&V;b(^nUZQ%BObUu7vC17I+-)gWd0~R+VrcY`=v1aUb;! z?t+tHzY5A5y6>mF;1;+84tRk4hH1Yd-+n_qTu8pbJr7o^IC#Yp;tl&Q#T~48obQio@oB8-=I9;K$r$gU^(0am%^5Bl0UFJ+y`%mm2eeozZ`qpc>ZAA+r$?p z{F(T|1#lBQ{2uuVvv!fMPf~6l(9XeqFbyu=O?a^AL-Ggihg;x|z0`X+^kdSwf_4Lj z!s9RoF5X9Y@Y7F7C+xAG{DEf<;tsC(n(~0-4pAOYQGdU|9b9@CcQEH$;tBU3Cp@_5 zpX4iC32Wdw*l{K8=n2{cfhXyqFi7^72&SpxvVF?u>H@}J2>$SHyZqFLEAC`pS z4(5f|DDN}Ww|+G$4i?`?JmF9M2@i(cM0l_Q9)Ljua0i#bPR~;RZmv<0@cDr?YBF@) zLVV#;xDxh=#62v42jCLe0FS{=Ye)}_gdGRr9!`Pt-~zZ3E*e~;cEG_yYSeMK9=3jt z=Xq$23WGafGMpWSI~X>sMy-V_;ZFEnbd5R*+sEMUdHQ!422)@HEP+LEF5N5Dyp%zko5YU2=`efx}@1%otOn*1;2SADov$I$^6c+^uE2FqZJ( z>Txxy9BzY4;Q_b_J~W>2;C2}FBJCv%g~1aD4`#s}xD{5wFW?&3^;Yr+7TiYui2C*# z)$S$wrwsBH{xz#c6~KgC!h@lCqz~?%SEG(V&)wMnJ^tN?ec0nk!hy$N1-$yT8nqrK zzd`xIUfXI^@H+Z|_o%0^_1`Er==}iuFl0CR5BGgVKETq0JP)w@*Od1k$cF~%8LSDZ zRps#Nj1CehYid;tOu4pJ6~RT<)vBd%S7@!;3g>pO zRYzgpp0%poE7V)q4?f?kR%HkyYSki`*QZu(g6nRqRR>_}{sKic7zQUM)~Yo4P*Sa$4PSyQ;WNp&gB#LtC+1^u zw}Jc~U#kYdgKz>&o>;3Y;JcG+)q0qbLHyvn>{=DPk@BBPdSFILt(puUnpLY7!ufMa z4{UcA_F*{;+QhgKhQf~X$v3zk7Qw3fYSnT$<$mG?*FAtcxOXA$HZ$M)AnAdJVI1u6 zP^~I}OMXp0z-@3nEPfbwaKU2o4?eJja(a#Y;vVp!@PTFIJ8ZSQRxN-ja5a4Nx0Em3 zy^8XMXJGr+G5;Oug9l+6tXoaJfDb%PIm0Tr1rC0;Rvm#AFlYZ&&d|t!EJw}++gw6TD1nQ`Jz_sf!;5PCtM6W zy+ONnpjJh~gs*B<4%~W(e1UuaPB<`yyCaXnN4aCN{hQ>^kK_mJ-$4Gud*KrJ8e9*P z1Gtv~?&0pb8aN?{yBfAq?=PrR$#69+fv#Zg1Q0b`55wAU_XOMtU2id7_Hgb!TouB7 z1kihFoth12cHoXXxbrg3O^45SDW#^yb1fT758xz!NG75 zOo8j*vYT-S=SJfWF5qsA?tdbG$JD7bSd+^6@o?S*?89LbNjKbiTb&AehkUr5J2v1@ zm;jH$BAAfDU4ZcROzsVVOW`571G@e!^G>7(p2)(TFq`zi!3A|{HGHUu^uv;Aq#r&C z+wWi;4Ew=DFby^o6F<2B4(=O)>&kEkzko+!aCw~y{tNj#3wQ7+OoJU~b51^tflJ{! zxCMR+_roC0->-r5IO{(2UE;^N_c3tdJ>0njcihXJ6R_%j@*gHXK)CREcpSd;E8_be z?fyc-g`I!RnfdS;xBwo6t6`@_s0HV#21FaDwqIWza@X*%WyfI|GPT1 z6)t*~biy;R_4~A=YX}c+fobqlSPoCVK)r$k*WwNyfCu2Jm&l*LqK4gJ(C?{_@OD@L zi{V1J2(E<(;Z9hvj&R{J82mTFgWX}wCc=el;XGIgSHdNm2^YTm8t&ljTZr#2%Ha*} zpnwT*0(@W_`3jf6O?`uV;V$8J@)h=a2X`OPe*GPHFy~wH6&8O_JmJnCxO)bc{EPgA z^G{=cH~lyagD+Q+pYV-p>M7h`Lw>>oKNCNAyPLCUp{E7sRDDQ(w&WZ|_--)gIl*-o z)~f~3&6&=tVF$P!Ccs0m0tW5j`M;=Mg~AOm2A+gDup{R{SHM!Z2Hp#Iz}4^w{0Rnq z#B&%@uOi?km<(es;S5o@2rhx^;Cfilp7V0yYFGn%bgWn2y|iOj)T=nS1{T3#S8}!_ zT-B*wt%Fmp#vSx_C%lh&&U@6W?yxQ#cW_y+dQ||sa^CYon0N!>!Q=hv)gE}{M$Ybq zA^kZAXCL-p1e^?$;Zj%*cf+OdINSuUxQTOR;jw|7KMRX*saKsop}b)v+yp1XJ#Ze} zH<)~aorjQ5Fa{oh^I_1Zw2MQDHyi-t;7C{i*TID_E~;Lw6*b%mcf+IbgE16=hy<@`0z9cRTQ!v%0Q+y$4z_G|0a z7T6u`hx1?!+ypxwBE2t?KDYu-h9_YKoc|K(gWlg$zu-K01g?QW-w=;=q!Wh07&sE< zz?HB9_V@$tMGberFW?dAei`?Nc^+UWEP^rcA(#UXzzR6!72Lx*xC0JYk9(K_gZ@rC z1w-LqVGKM9bKpSEldFKc;To8;0r&73cm)0x1|8u&Vk2gX4BmpW%^R)Dzh81D-SZ z3~YCl_5()1!!Q}f?56#MJKz!+`62ZTZi9PZr#-lb^I^Lma0esc|Ha<>$LBTQ|NqIk z(uy{_1VL88g^Y}&bNT%B{cCQwdR{sA$Lsm}{W`DL>-+xHj91tx*kI?ND#kvGt;F8<585dAG?zGKviJh#@31DolvdV z&#>FEU-Dk4A?(S#8!GcZ%=3@sT~yfJ*fMNVHt%V|hK?IjP1q-}ZP@p)JF#JG(sz^x z?`6uy?#32k1H8Mb0($`OZ>qyi#WrL2nL4DlVUOnhO=0XDY})q;30Glrus33huuo$v zu$|aCY!UBzYQ`?dZo{@4e8_!4_d};eAsT*o-{FW8cR%V{e*4cMcDqEsc-DGTd8mCW7R{d z1$)75L#h+|6*huhb^DM?kC5MGLuv~4&>Gqc_FQZwb{V!Fy9wKZ{RG>IEx&{C*!Jaw z?&V5_hh z_YSE>?8Vs4*o*ETQeD_Xe$9K$1{qI(!@DG~iS@i+0$YeJ#a{PY-bsP|8rz6HZXMyV z*JHb|XFWjpA^H(EgiU;q@YqwZrP%AR)!3cbM(q5D2#@^;+l9?|nDE0K$A+-WvANi1 zv8C7#u+`WRY$NuFM#5uH$97?_!zPTd%)o}QZ(wt=J=jw0L5~m~I}6*0y#~7(`yjRp z`xk72qP`v_Job8QF7^#=iSXEk9fZf;iQSCd>uthgzr`jbCMGm*B|P>&*j((|#9>v6y(KWLshw$*}6ezKTsS5)+nX4XY6L$)kr=9`<=`8TM;z4fdFc!>S2;J+=+I1-lcw z$1%eyDUg^j3!90(5u1noHMR`XCNcI-s#7uW*q zzS+Es1G@xUi+u*W5&IFg1AFsvgvYjHQ^q7FoOwLqvG-#Ou(zK*tje*67Y(a5*z>TP zu$M0!R@<<5FBw)5?AcchtMsvn3ID=Q!R}K&tctNe$5vv`#nxkAyn0x*VE=l}u@yPY91a zB}{niJBN&@QtWwiM^rWTrgKMBBlaomX6z@}F6=?)@qGQBiQE@6qC(h5vANhUu%+02 ziV2U+#WrH+VmD)#VY{%Ku?gc66C&6U_SEwUkKMP7@Ys)+@Z1ab$jTAbgiWa$QEk{? z-8rImVq5O!nT+v?2}bRR%ET_g=3(E&mSIy?5gz**wh6m<&4}8H-ErTD3S;Znj;OSh z#Dt@MGoo^^^RY$PmDmbw7+Z%O`Yq|k=By)LY*E9A%Kj1U9b1J>dT>Ow%HPXu=j?+puNWo!Clj(!(RFR%|2Tuz8P+sFb}D6Sh4*qDruNFN~;-@;9~{Tk|&M zw>SRY8Bx2iWm_qyeG<8EjeKFZVUzYvOvrqHL`}ukU<l*qkq^hjjE`;TN0PJ)(AFw_&IL zn7_X!U)Y*no@K)3r6^TDfp~wU)DSi)s8rs8#GkHIGdBEVrIIq>6O<~zrZKgW{}OLJ zD-^I( z6+Q;;myQy653KhJ~jg!{!`27mrx|L`^NI~u=Nv#ArOJqPj|GZ zCit_De6Pwq{0fJ+!ABnbUNK#E!yCJu^zVef|CoP0Cee?#!2Rkm6aE%FUOnccIiV?j zJuXJ`E*igfT?=oA`=w(e{4el$>DY?qxX0tCBaG%9H2dh~b(&dRW_hQLVci0cmCg`h za^NlScOPzyBt9!xn$u20w)7RsG`M z3IB}eQ~h`nC*H?-4%IjR@T^b$c^>>}o*(t|Uj|>&?ayoA$9?y`dY5s^E&q-{wEUak zpY{0jHu(Mh{{3|)d?h?ye@)(#y%sdDkpw3V($}=};Y2j|4fw}X0KW?!FP?HV-=m2a zPaT?j2mRw|hOdCfi>DLKcWC_L36aeqG;4wTiZRnEm%zNF zXx-$(zvtOsKVAwyeoR;$MsO!Qag^Xd`c^eO2mYz2?zcO2-w5wX4y!vo{C0>KuNdm;GG4v5V|q(2w_`Gl~V!*3^ljK4;%TZUz5QVtBOZ#{YV z(#b;&{NscDc@uoYVLYF#$3Yn`u*!e>$n^Yx?R=OGKtFVXnr zAs@ac&v5(kMexq!{PVB^-Uau|!+Q9=JPRI+OCH+c(|P7QUOjZ9S%k(fjVV9k+oC7= z^NH}W(_-gA(og^o!mr@Br!8D$wFS|XqdDgXG<9ei(6C(d_zCRop08Wb+&?|OW;>dd zJl{SOH%@w_f7@*{B*%m7!K2BS$xd_(2%LI=m11i>mWgKTys-MQ=%bn%HYj$cn$nv_*r^>1E)Fj+a~zC7lhUCJ!8-a~yEL{AI!;@XI~nFLA=>!KW{XlYjUz@cTXCS3BWr;EnLBJp6Kp zH^IM!S9nS}E5Ov=1%hd3HTrmzv2keO19Xz>n4EO@&U-@8 zLWuC|(LQ@cSS{6k$vC^%@+X=OGzp8t>UX0xkGq=PXll{K^OLqW*OAaH9_>d4T#kkK zHwDcRyvre;pAs~cKcJ~bv*iagO=vQfFt!;)9PNKv{YZM+(M&H7t5^B$Xtc5vO*fhy zaWqnAya6uZ#;a*7{C51TF$OoNgAb@H+Tfc&v4x@MfEP<`frNbt7$b8@!(Ir|E4}D~rGsw~fk2fL^{f9CNKF zafRT|!%yJ1QyxpKxP<4z--I8i^K&g8;#evCH8@+VZg^RrC0c0^O%0k26=C)4Xibx= zS&t@XX;=mHHei-(pifkimJT#&m9fVm>4V+y1o-uOJX$*nTw=wC%B-i1G_E)C9+E~m z5N&tH17_wVzNzqb_*@US2L2GoO5kDmPMu4i{m3dGsjF%<%{MakFc)>=(CccCJ)QVA zqHS6hR)5#iAJ}RpT6}fD=iL!jPwD3g-B+D?$}q>C2-?oO!s;k#MA5wI+WihQTNjYTkjem*3bo z;HI?+ZCP_zUEo(nGu<-Uinfk-l^jDHPQJ7~h28K+;a}-o>f}?aPNZJb_UAs3SHkKV zU1MBn3MB10@K*S3tgW3m^s-w#*3p)t-RF(48uY7^&#ioha8--;v$trU{C50G+N`L} zz2{A6CU2qK^fI2kDo~rWY)rIINg6xR9n8B|Ci%ttW4C;hnEQm@39EfER|1rmN6eDXGb9)>r={rsn;GiJe$(EVTJ#-9UkhsPR!CH^A#mN@<^;GJ>8 z*TFyX;UWAt!?(jdJ-j(IoxdKaCOiSh!yqq%#>zixYkdeE&GS7@i)7SHdU6 z;q~wgIL+AY3un6dYk}_*CwwP7Uiu?&N&gJpzr8S!I!x!{%G5Y~3VZ>4p(ni6NJ1Pd zhOdWv>d3Gc1#pR@3e8qD5AfUR@5WlE9XG%WKJf1+t?>D9@#Ta+&n=_v@Okhfb#Bk$ zB+L+e0ep(it!cG&{^O*6Bb@28>wk{xe=7WP_`y0q-{mFnMewt9Zde=5X8z&p;Hx~` zx=15qSOYxy!?3E-$2x6X3S6G(lvO+0;!gjv*aa_w`;~x2)UYli_}2(k}RvI6V0v_BY@dx2}s_ z|5*SDAB)QzAs-;|pRbSmXGGUBff>=UzZ~77?O}C;9*=}4y{&xKEmou6Z(`N>6B-W68o>FG7BiuHX0cK4<6qL51(*1ri(MWM zM$4lRUFct7bsu4zG09kEW`t8tLOJ|C_@O%Ix0F?_qyct?&Wwd-Sm7JtJK+y{xO?93 zfG_wwb{R}9A?p6$%r`ypSc@7-M-KcxI8#YCkA-es6~R}){mv~F z@MqyC>fx8V;p^aA;If=|%5bjBo8d3R=j&}IaK1ShO8U0JBVY2qJr6&_;bHj1Zq_9p z{(lZnqjGkC%{l{LPW;AJryg?PPka+rr|A4fH+@C$H{p|YeznDAT(5v{hab*$8OOgq ze-7^9#MOv)TTfWc(|sA%%?^^+&G2AvSY7Sm)`C66u`YNi{B@nnTB6YckHh3-qYFJ$$*tv*7c`^r$O6>9iJ> zQWp8}jdA!Q_<#?WKCuFx&pR(?kLQnA>+ziNW|<&MXy$(l_wG@Kp=syJxz=2de!{AFi+**i9I;O%S@b~mGiwi076WlMKL-27EVuugmGK0x- zD*W-$`Fz05XD*ui4(w5D^)yI6wLOC}G)Wn}e^1w3;ij_&o(9j=IXWR_qw!v+; z5j4vWkKb-Hj$(X6uEM(6WcH=kW-j^bUv@y4N~qq+WuCe}3#X>ytHP4F-E^Nlt?3Ur#&n*>Qy zA=)KB?NKZF?P)*O?VYBk0?jrwS)ShYO;b`8e>*1%GJjAhLcpF^qb#?sHHuODl~2*|;`)RmCiSRQqtm&}stYN< zOf+kb<$bt%{YTI9Gp)Lp^c0|LJ+4QcDSo4K7^A=`v&Hale7Jf3hlh^uQP1n)qw|C& zE6t*7Mz;aoKrCIitJ{vQ>VzKeeW6i*U%0v?I{2I%-fJ7n-{-DwBD(#5_QSd&bf2N? ziRDj=w+h{+$vvt!mTs37uas*Ox?6tU<9kiA4Za4xME7G@^E3&+6TSm}s?Kk5{U_1! zjVb;-6Mh6dR}XIuQ|3G}4?Z&vFM}_HSL)#nYok%>vj+YOJl-`8(QQQc#4mc(o3Ywq zi`5QAw+&t1)E@QJ82*U1LwA1sTe+$(%K*FzwzdWPIdu`v? z;H0G;K4&K9Gp_47dC}L@x22g{DW5Rf=6TfTI89q%Uqd=}oT&?eGPnrdQP!jCk~E!+ zVJqEhR=H@VUe=?g2S#b8$ZiVd$Kf(G^LS7A8~k?C7VU>Gnlkg6WG%V~x-kc6Iz11& z_cz5-9xZ6!Th^m4(tR3oR~=&r$2#F@H9hK2dfiCcfA5}ShR{5F2k*Vs(;hg*Ot{36 zaXfMGp6x$*;%IT=mYfiv(JbVh+jI3Yw62GpBBdzt)Sy{?PmlN7 zc3{LzXb9c}zvf=v*FHL)QIC1TKjh{gz8Zdk zhg$_~=6^Ef2lvfC{5^QAbDEid_)#Cl$v=Do+&BO5bhvN+;d9`Pp7gudi#p+3;4?hj zy75ukb_Bi~?irJvdpe9iNI^+HLM$d%f9xMmE?gfE3x!$bUb`qKq&JFB+& zGdefcJN48k{y*taKhk-=8-6o<2>%Rku7CSpf)Izg?C_uIT>4U{>m!MaejUV-uWJlz zE-!h=gooqsJb1_-F#|$DDCis|MTa& z;HmJ-^zcTRQ_ji1;2aI7Te$v7gG3=^kOeP?f39=;rWxV#jjhRDe6vA6-v<8T%wHD4 zKl{{wj=2Ip_A~#zjrH&n_$*KS)6MkDd8Qp+1Ak3Fm+R-ZXRP^%q&19o!smP+!sF{J zr!3Nb$$Kl{S9tQW(9DbUogDb&T|MeiJ$!UNQ)jv{>#PLbCUjCYPTRMyuZy25csqQx z&ZB;`^>P!sN&n_M8hYNWImA}UmH28$^LclCUs6uH(G`46f6?=%pSwfu{3zo@-h;q* zF8s#GsqoeCSmzK)Qwe+{-1FR?oxi{WD;`Nx4Z1Mj(fEa5nvQhSv8pB{BO;hZux zW}Af`;?P!jHoRBo(wFRYp;`ap<2(PpkT#Vvg3r`_*f-ZmdUN2{z$^9o(A(O@R$CKo zDcVx`EXlv1qjqn{5-LI~_(e>MccHto3Hu3XoO&`s9^-i>NhI`bG zBqE8<{dB(_)_gt#oJwU5CH5-McxilMmao)lHvAQ%H||fnXWOc zO(NkLO!A8GakhuM_vucBuYjNC;nvAX!k55bfWPVCe>O8I^<4!o8Plu&Pv=^_7=Lri zL%zM2I<`0FdPnjo-{d>WSH17;wAQbp-Hvw4-h6K(nLncKUe}(O zY&s5slXKad`f;zimfw!nuy1tckd)oTT%MDj(CfW!&)6bY8l(;i;Pc?Wj#USDT4PuU zT?M-K1A9m9U)4HelxXVFJe0w=Px$SmN5(O$i6?|O+?vZd6-~ZAUZ4q_?2Z#%XvT*4 zc8Weu=w)!LyH-t^#@_8=FTpIQdX5{ zihkOw&P(EtXx&8jAZEJbQ6svVV|ruW6C!>#!?(g$Cu)A8?Y`PQZ|p)h`B=VPgUpG~ z-h&EpD0w>PGWa>VAIbY%%ZJ1@5zXf8Uhj3+Xk1}SC+)5X-MZs@)gScyYyCR#m|H*9 zXfr4GsvbRFUHebhuY8B{o1gcp3dYt^)X*Di&`%}WH ztm97U_3l0B@&Ct)KZKi!XeUhTRlnDLN}GJj@+Wzx}#+r%!b4nu@bW6`+u%=qKV6g2CK{@=!x zGPK5d@yC@FXeOK=e_Yv!X50nw$Ca&UcJZyP9~xI8=+YPXk1Od+{>tF-#uX{6Ty$G5 z?p4q6+o@ma6Hi*@naSZYG{t4T>S(t?IBzz{k7k-e=i{13+!M}&cTIb36pbS3#vR>~sQN1keeYX(W zHE1tG%QDSv1NOZwa!AtCjOJD}e(glQr+XFr*AgJwj*K--$XFHh3m{g6{tuH+&d= zF#Ir`YikSno^Z$~y!5Rc_yqW#dU$?I-4w$1h~v8)z9;-ZJ*>8`y9S;F|B22^t+ah@vkES5Ru?qN1zGJvup99GISl)5Z{q<-@uI%-_HrE0#S;Dso^>He2v^hXY9G&pR zS5b~h{1MHA#F1{zEkz^WabCiA6Ym?Hjyv3RgbG+k@U6sLPdq0&@#MmX_-5jm(LN&9 zSSj(8q1nOr6Fc>}g{0-L)|^7>Yz3P8s`yr&t_fUk3WTqRe{(C}=<}pw4>Jt=v&3Cds^Y{pxK7^OUSFd2csprEOapG75zvix9H8LKtUT^;y?`WFQ6#SaCz3xNG z^8mNZJ4LgWb7Ar*KjYms-w>Kyz90G5(QV`vcl{A!qiNTpqt0W-CMQ3+@LL}1RVV3b zu^+Gv5p^lNp{ZAWqL=$zv)um{EqD1|_qpqP)yL?aJR2W4`IYZ@@ADMn;plW`S?QEH zMmw6!XL{9N#%MmG>!}y4`Kai2qicJ!EX1K2_=I-mwYo3Km(}&me62?lK{HYJVXx!l zkmRdF()1Q{H#rdPJN7eeAr5uJkAO4mxm@CzX5~xjD)mgR!J_HVHSTpG^KC#9*A#T$ zp<@fp^`%`uEQY6U=~bWV+}LhLB;hOJ@4-tw+`2F%ydJ*KUm5@PaW!y*IWP-vftUZS zSFx4v#$RFOBgCOj_)7SLI=7z@l|v!^4WUVToA2D}aZnzC0e2h;aRF}UJA4ClRNVw_ zbTxTs^4{%LhmZF2W9vL3aV-+f`@QP^(SGi-{79Z^(KPeD*Sq=c*57ic%r?S9e~;Y; zBzy;aBD};C-n#HCyc@m<9@4pXEiQ$PPT>_gH>?x8gqa9$g|F7Rw4WNQ+$D}eG)H{k zpT=_d@o>L1u7NLs@2$t7?N4rkm%5_apNIcckH5@qm*wzQ_&;Kg0T7PFr>!zU@D4 zejB_B?pFq3_$_djMOr(XZaN5YDD51|0Dhs)C5`qztdzqPG+k)U)7x|4EVD5SFNUZ8 zqgQ>W`!K#X;}Bj6{}TSLhj%!<9^UW~W2VRdEXRKfyq#|$r|99WA=NCSPIxo?CY@{d z5J%vt+k0ca12IIH^mEy>fFGcTx8Ff3ha?YE(ex5WsT^>|TXzgAfltOqtnpaFSHVl* z_j|&xcFLduzBNwxR*64O`0en^`9AbCPZ>^i%5Vr?%Xg!@Jn?_*#Gi2CW zQ}~{=A1{G-#Nk!&+E4jDwjTefX7pzHHoz<3uj<@**%ZjRsuiC0S+9D?Gq-Z)GOMHW ztDWdp{>%S-pHxgb;ZyW-lJmeMYite?R~DMC&wJIKdRnAU+-#*+&dUX8^1kR*kLc$C zeNJ$Xbv_UmX8ogo;orT!&$u_hH^P6U$C+=%m(HTQ#ykuvT=Gxh7(aS2bD$Nnq)aQPkW!}c{6;UV};`2IRS(`}=*_(J&Yx_@a`+FYs$O`DGoDX%v8CivsJkLbScZ`}6Mjc(F6 zqw19~lFsA{D07&neQWI{3%&#XtR4qzt`L9c!OFj-AM5p?wR=h9A~Z$2tN3DCMT|Vu`GZjrYnrhvT_WVi- zyr8Go`(8DBk)A=ADtLbHsC9hc5VP}!;0+SKZ`3#-{rhyQf6F>-Gn(p1ubQK;XSKWp zrpStx@eFM@+J{Ei6G-BZX!+~cZ36CkI(Hc`8-t!|2yGX@K^Zl#%{E}*$*wB`;=Bjq;{&7?7X-uEc$Wqq$(FU4q|7~7{V@fi~WXS-u`4ce|f`eN?a zO4^#>AHhB4C+VMNrA^AP9gUIP=ew`E3qFF61JOEVpzXURm-2ir+^>wY;352zMY=PAJKLY9XFO(^$-G8qFZ}l zpE^?4$=G&~t7$;9E~8IfK3Y@i*5_t4T?h54_wnh(XT0s?s|#LtaG&?tKH|9qg`^|l zV)_@{vyQX&bj_KT)I%nk&O`dV*IV!*R|JIT!Movyh`(r_=UHVE;!v5*J_b=v4 zuMo`&G#Q8Wsjd8Wd`O>o)AA$nY(&$JX0oo43+4PRyaT=?4)2DC;S2?C9EO!p37=BN zwVlKJe6KrAgwKH=s)yIsE&O))aRL5_mZN@t%COpN z2;72p#Swkp`&p#C>|2)1_K#*e8u`9KOn=|H{*o`@Ja*KmI%dz)zLnZMe@;ZxhUOwa ze+Ab0Q{pa0J20tFRr_hLu(Xnw8nmaM(5GJHx06oE%QIHlh-N*S&(ORyTJt-t{?SzC z^r@G1jdd$~g81l$@AI=h^@+|Up7&iJsS9aGXs*yT_B&|AM>afta-Zrp_#;|JfiIke zooI^CbWLFl;J2fZcu==)n0V`9&UCR3A#J;%Cc1nF_p}XcJ#+kAF5zV*WHea#kS(2_Iv=j3B zyx&qVtc6GjUIAYV|0BO0Us68Lx%FL-X3~s4^?;ssGy!{#Vdfvr6EkDy-)#TztXX~P z9lfsf@&8X&wMjW7FQVRO_o-|5(9#sWMzO%XMv;v!{meeqtf$?u8lj}A5WW$5RJ;fRe#BbVS)*Dy%sVrTq z*Vn$5R`Q#R_JeEtyn6-qo}l!icJ z$5X-=!H!;Ca z@3zt|aaEvMywYDIby<(*soH>^G)y3OdmyqCJt^EO-dzm z-uwEz*D<61mRdSwW;;x~iuqVw?D@RZZ;s8ckpR*0%zjC+S^w}x!dH8^b%GII0Z;gK zpYL_fI{0Gv-#p>l%>tD0&F~3pN6l*jr<$1%z70P8H?$2;cx&M)JPfab`(1ZQD`$@n zzSa}ox}QP9=fD@%`>%V8;5G0g_3#U<`j_w(@LITE`s(0s!uQg{YjcQZ_zUoZb*{aG zZX3KA&gEXMeok}BFAV=29_#!lZ7Pig^>(=DUZdzZV%@4^-cLLg-R9r+sps@~qPoZ2 z{Fb4cvo7{JUCN^d-T-Ha*3v7pT|Dct$g=pke4Sk9t)6#Z@=}gKh7v2G%|+F4{R%@>PZA`1O6h&rdbLOXKiX_-goM zd^u^+_5-%Vn|!$BWeEN<+;b04bWF6r;~~1t3eLSx^?C1mh_-Y4IZe?OpxbA|59=z> zRig8}b0-?_t=3p9{uFc!WPRhF*UFNg??Ih(o z&ig)G+Q~$C2>uMeo$}V($pcngA#gF;N74RF*V^~+$|1A<(KMrpH4hiw0B?!ITj8y6 zE^E2z(cTTZ9o`#<55cp4=U*NfOId@$=X(6lG&3pnGZj7$J}pU$-+kxtF*2bFfy&TT z{N8{6UCMR^nqV_?GQWA^FWmWQGurGI`qa68+G(z~OVZfVr*8MtUTJA1ohjF|E_=C8 zb@JP(Uvr*hy`5CjnT@9Gk9}&x{+dSX*MVQ}ZV99a=JHbR&1lzl_Ng=W)6(GH!#H(6QXuVTJGxiC?u&W#K<0Y#oua@K;#G9p(5*i>;(bQX)frOiA#_9N>Ov9kJvwq; z-DO5;?)imoV9)ZAi{bNiB6)n;4Vh~@<}&AKl= z-iNI^ku-LqJ^9v%QhHhI{q<|f4d+9&NmZP;Z}X2EiK&~2ZUed*NgRl_%jh0qj@AEV zC@DhMen&)=^4rlF7dY`%z>}9p;yzm@d1yelSYZZzW_iYWQ*#AQ{D9I@8FxA4p~nm#!YO^@-Nn}7HY_+EbXGGMj;5W!2)zV>KD zeW?4A@$PS?JF{KapjrA@#Je`v+v+t|Ta~ifg!b*Gi1#{~@mD8pTj94n9#L2O^^p>{ zj|`!0dorSy`f0UyQ)J!B{A5E!t@G>icev-YBD7V{L{x^Kb`Muujdt;-h`QUaEiJX$ zlC*=3XggkvsPpvk+g*F)$>=R@c^kT+)`;V`o8Djdw9b1Ww5hkT5B5$(U90z@Jo9;#%cT`c zx^mDwu{9F&zEU&)@b-6^)9B?CUALsW}3HS|(8jq&s3b$V+-_G3S?-B3%T$~V0$}kH)_5;$Y z`_bFUgRZY4v@1W1c)uqQNHGPH<_dUKXTAy!NX&O$Nq*CpalU}(>TO2P?83UvW+yw-&%?=g zlC~rI)qZ~3fUC_x8~ka%s@Ao7y7;)3^%yPRy0+6A zb0lvqXs6`#s~1vyw2!1Xb+Z%gYq|a2eH`i6)2x0iZ8muYZ4!;=JYwHV6M|>KN8rCr z(&CeTagQ|z7fk`0iW&XtR=r(|#=Od8okz;iY@OM!?$kAU`_r@yXeZ|Pd#``$+7GO< zGy6Z<7Zh2Rt+l-E-sTQC0qJu0B)x#&8*{@3U`1HKbvEmeM8`?SN^#92!sqv^9sG96E}G}9R-Q$-7~O_>{pvrl zbpNz;lEyl86&Lh-@7vMSIN9ps;&U_FH6{J(y;wfmT%Wtp{qn+o^`&2*{kz*|)9z+| zP};8!@f#z5sJ^1`90J1TaR|<6|^J2 zezMXX=i1N~UD@wlAL`}xFIT%8ZRo0gb&+2h&T!L^!9?TStNXp*QP%z1_fAOO^3hga z)35%m*Mp`tK6Kkb1=`8i_Iuwu9qmh#t$L8UZ9sR{(tfq4UIx*2HE8*hzTbu}=X%<| z9=B0t3WV>3uZ4TYHpZps_57q&v`cubbx4Ttned0;d3rizT>GV4uK8$Qsq9z(+>-#Y z+QHk_KBkma1=^*z_p7nGKfSENZo6$nTez%W{WZnM?+eyAFMd1F?!KpAJ?&Swzjf<2 zg0}p=epQp?sYOY93Jc9m5B7W4 zEn3?3@1RXVyY?aGMtYpuJ6ek28{x<5{BpO=R>E816Lo&6TkrMocKEQ)^|XBDYTMAR zd$?aE==syN-&k5Hi`{6KH1?}A^m=#q5T;74i!OZ)`D!=)_^!t8Q={Lu{*qY;tuLiV*E&b|hPuVYa z%Dxr;=u7=-v4>yk@a^z#UhelkKVX04Ui=Tir?mEa?>7%jGz&Zg&$yp?)vNv9Z<|Va zShtcSh$a`!Epar`*2>U4kH+)Pm*_axqqTo@t2Z<6@Z@KLnICB@8-@S5Ulr?ZR&U>@ zTI0LqtrP9bud_zsx6>B1XVW6^32pt}XZ4o4X-Ti+91TB753fCwIR#z>kJVQtt;O(p z@Yj;H`1Q0tW9_F)T5Hhm?&$YETWP;kQFs&lmcMcS<+qcUTirI*27eU3=N=l@;|g1I zF!8k;ZS}U;afRTizh-Us_kOiiuLJ9zar22I$y*MZmp|xNKhnoWJ-&$5XT@JB+J=v4 z!~AyAE^Wvj>!p3vp!pKb1EV$8Emv~X?0Xy0&HuRH_db^nc!>{}c)H>9;1l$*#=VX( zR#Gixl(v>N%#MCFMj1_8EWUF!0*f^ZRV*O z?dmT7cGd{r2tQJfYk}KNH^ZCZ=j&Yi4nr6G8~9#2x3-1M_!EA^wG6myaX5L^?j;Ms zx5LlUx%M4~TzK%)e($^Oj60mNDuq|VC+XqseN`#TYWQ;ap*k?FSgveH~`~*E8G_4boZ;5bdBDhn%wrX32o=svFGyQYb(4P{!72U`4ekiEB#>z z?ZAIV&G(|~kboO!=5Lupe%J54FPS`CMKwuz<-tebp81zyEl`A)!FPPmT3*jz;5;(| zGym`jJ^kw8LlH;&o1XubhnfvS(!2@n>iq}2?^t%PQP+r5(RHF5I$$8~wQ-3%VI67v z@qjvCKd0z@b(Xtkn20tdV?aIW*B0-y+M@U^M!Vwhfgc_{^UUsDt$K*YjxK>lQ+r${;-Dr~wtw z=O@x$``vbvgC_mh0dil#Q zo8#sb`04PU>D*rbgg90VFN6PF=Ukh!Z%;IRRH3OvLsGOftaZ}R01v~NwrbpZuq1;+ zt?-2>4*1Sdw!>@Se&>!McrE;VkAL?*>5K=tJ_q+ZcT9!90QWn0l)#(evCbV*?^W>6 z;r}o1QC^QWf7*cW9!5L71kUnKE31`GS?+>&z-M{*bcZKDL|MZR(YgH$tF*f;o44vb zI){DMZ5M^;R!kr8y_caJ-UweAdk(Tx<{%PZJvw9NfSTlK=gz%e2T4m0q1%iuIe#GT z-u6y3*=Q&Nt!(O@yeB=(yL{n(<8vnb%h?0!uYUdWCF@)vby|pa<=g@F2fuxwMr$7^ zgtiK8+BpO2Lp_hy{ThFf{AHkNMALrWfHL&*3JjYaUHE4BhI#&ds0)6?1+m+OlygEO z>wI`t62GHkuX``yelnIxJXxaq)qr|PFN45u&5R4rho@aM5c8f9;fvtiaKCxb3itrr zZ@gI#{|4?i-n7G$7Q`QKy3wSg`DFtCMe}NHxtrrr$|Ky54L?}t+FWoVJR5$D&h53W zlw|?@WcWLJUAgmX^GO;hiwbm~UoxPU>3)n`oc34;pR;fv<~!6Rd^5ZVexM%yTr;?t zk8SWmA1>((!wcYn*y;Q>+V(OYrQRx%yn zydGZW32$Aj6rKy;4nIuib{WWcPzv7x?@Hv4Xq(gL9&fv2M=jd>7BkN1a}RwUXuro) z{I;My{u)2ub9q;V(O?CS=+_c~C{(&B_CHBnFSpYYpBqqgss37-$YRp*BF z;J$>sj-It~{{%y6N5Ipoad;d2wCg}Ai^T9N$-b9m!rv9b@-**~H z`WB%%y=veGpTCs6)}gJqWkAi>;|y3gDM)^Vy^)m`B~gISZ+yjh@?|w8|nAG!b1Go##C((e=`1H|`>I>+byF@|AL_Mtk{+ z0d<+)jb`XC1~(7oXs=l}pjPPZRM%eXw$lc*Z#N96)BOC}-hfRndmgZhD-xf!==fGT&y^rIb@>J@xbCfcG9ABiC#cA$Tr) z4LnWvC2et>+aAi$RIeXUU+Nk?zD`Rk{??#9c>`-JemnWVpK-m_4kb;^Xd2KQu50GI zeRdnX5niEl@nP?Uhd3TVvm4D^Jx!ACX>PhRo}wLWWNtK;0MRnl)4jiYZ8sln+VcbI zSiN3#tvO?5&4sH9@!LEQ_dDN`#s+kcqGP+pjbHL%KL;$D&1m-j!>Dt#X!6{CyAw?= z8qfMN+HY@h>pEovYwZ^X)P8!I1X4{w7I964uX}Mot@RsIZnMS|(H5gkY8~+IiD>0v z*w>__K5Ni+q7CxfDd*X4eK*11jKkaD&G1<3v=A)@~I;kA2u#YZ!m?6(HI?|VZdQx++UZScwP zb4L5HZl#o?W?2lOD?_(R*J+;i>1e!rN6 zp9hB z-x-^jQ@_o4@8Q-(DYO3J^>E+%hra;#%|HAVxNrX95x8&u;k)3z`G@N-8kbPd^y~={^6_PzT+SKbGUE*;UB<#^AFz!Kg*MT_dO6p@Uia= zc&~voFOWTIIge!gp7sxq_YE}Z2YKjLygv~0nWPZB3_fKW>u-G?Pdxf}Dp#N>`EcO> z={uFpXxsily7jrH_|v{q*@##>!d5-E8&xLewo?S%yad6cs+b?ooo9% z?RNN!bZ$ROAnDr$?;yP29!4^Y--)05x5X@Y4cxOX(e5$Khkp*A?}^{qJe2qs!85-Y zP=4uK0bd9&^MrTznbyNMz<;81ZI7lMz6pMTXYOu3dTZ`^?1Fdi8u-EYgGgG_USN*; z@7ULdWd521-vED4k4u~D1~$8Es8Y0rUol4NZA{l5ZMC%!erwS#_Jcp&C?lZ7|Ki+f`4g$v&XUjonOw_ZjI?Qty( zzXhJ6rzN^p7;*btMho@PJD@_kp9@?*)#h9DvI@LmR;ZL^2|T5rb-&K_y6?2=Uede* z?Uq6If%LeHGBYw6ht|W>hS=ZJc{I&itTaiSThXmU1=b3+H-Jc}7%$Jy_j2%=L>OQ0E=QCV?1?a+i40^94MRoQ&yCts`=(6@4j5!ub ze0A^@@V)eMi>Ax|uDSSWMOQw4(Dyro+u`frbbU8}+PAxg;MpmIG2fjl>BxAQ^FREm zSoyxl&37KUiNQhjvVMMxruQj#A9^vmSN0zCzAw=DwOM(RpIZ2oeFlB+=i3Oc*>}+U z3}Rq|nUE0SJK$;i4SJt1lJVnWx6STGGapS8dZ*5fhfRUm|NqD{c<`}$+3W2mY~@?x zn~JvefI;<+UOsXje#0%BQZ$QxJgDB%{YBfvAGP+6Zd}Ho_uVqadNW;87wh5m@Hh1` zj{0eF%Xllg$)Q2-GZsd-Q^vdD$%hWAPCd99fd&^}uE=z9})?PK~f z^N;rUpAD)yq)u6y_sSV}ib(3T6wRY(ay;>wuTn61HT;FigQ`*Yp^ev#@X0?Pj5$Y^ z^lpZi!{5@&MX#?H-LmOM8$59^=6!@x#wmXyKX9d|#V%vxKW4VgH0PijH+4{@={kFF zA%kN@@DcpPdcU8~@(z$ech^uABazEC85G5pv$gE8;15MB$P1oxZc zZG@iyACK0FUu!QN@PpyU>3p$UAKiBR$LQR?er@J|Gh-Uzt8{K}ybGTQuZJJ6^DABd z1@H}V_+oe~{4hPdcD=Y3{t7%+9ZLKg;m^Tu(Zd@zIOX2~-)rum?;O1wUJk#)6W(gD zX8!-2knl(Nu{yV}8JPKpZ-IOIt@eC&0el4Rx1L@MzoKB!_kCTp@WG9haEzJDG z!|-(;?w&t8;7d*$^nEvTH{3X#vE38?w@&$`yhi(mGd*lA1IW1D@jns%0zA>wfIj9zR_=Qd$v*G_-F{qe2yKxx5cl;N^Z@O#H z`&}*j!=aLga`;#9M?K-)J@qy4D_0JxUwPu6=fuAWzVYtCnD+sR|E=&p$Kku-AHe;_ zz|=R$UmTtd-yMe+!iVAad-CV*sg}dbYX`mG_Od?)Bk5lQU%zV5`+XYz_)k3Xhn)09;9oz;+|m>N zS|@yZJN5if?76a;fB0qanV#^|obbi)d*B~?_y-QJgwJ_+(7Q*Wy$hutJ`e7Bmw^4z zSu_9eml_Av$+~}QDqz-MC;UUWUptM!zlQsrYt!FiF7(J?%;)pX{KMD6tMvG_xl%Fw zJNR^+-)v^zOkX8D?NNVT51$Or(!*=(*cSN7ad;yEi^E&sH^V)9Tw43-gs+MdJ_7$W{3tzrm$>Om|0{DDxZm1l z3VcHxUJU<39RHQ@SK;&Y__cSp*2DYZ=X&^=PXB3vAN$y#@4cCw@C)I7?I!}i1pW)% zzqWQvXA*Y{+^>I4fj7X*_3(yO0n$H;;oWg~C46cV6dr;1wGOH;f;#^!80G1IV=eO6*lSk_ zKL!3Oe1&IxwN4Za|vG$uYG6G_u66${3-b3 z(gB?EYs`+OzY{(wVMy)rr0<{Z_z&+*98!l%WKQ^lGosk#}Rc&^U1xl#rv-`n7GbZ*RW>ToLjY53V5Zf&qg`bywC z;3w%^yFa1|J{Twd2Ka$T4SDxGmYC67`G-$}AE^7+?gQTr|0(<+ofo^|hu}xR&(pba zmMI8vEMqHU2>b$_%YLdg3?+yr7fl_SY`vYyeC!CbDVXUjL(_P)e?8T}?}q!8QxklB zobYY%`{5JybYAM_b0_>^xF;WmRZvn-N$;|c1wTa(Z-19ecqY6bevHnweUm)+*oi~l zZ><^+nF)~aW$?S;bM^3swQ(c72Hp(6%foA&@J;Z8jv0zMmk1H24SovzTlpi}mu21e zS;+CR3(dIGhhjdnD``l6k2-+o@Z0fW-!~TGP!{}Q_`dqSu*5Oe-48B6v$}Lh9h$89 z5KWqOEk)ufmv|NpDW+d;JjUxzI@iEYxNJz>=i$~3j}m?p{BgKnU2KIv5C4fCUb{}Z z8~!5v5S`o4If?(&_gUk^FVfp{pu`#1vf+J~4|(r*4eVv|5aA2qUtKYzZjuwPUKdNU z%sh&w0?qWgA(gb}C{3SRPW5OGdvHi?LgM(bUlJ|pY=J)y|8Shf1MiKKNQ8I7FIzvP zx;*@2hezN){XO;I;jcS9eOp4pyMLlC@#VyC@7;?3De(8;e&twfhcDK{8)rN9TnXRv z6~?9mH2;D01ET4#hmZaHklNeBQyks`@B3;}6d!tp4ShgLFCjc~jxJR}ZVRJ#FZ8rwx_BudNzZ=X>@t zip-m&#eWriWA(7_{GtK=0emr9rw;5FM@aZq_+hsV$2@-v-wvM#pWz9g>-51Pcs2Y5 z4}Z?&GJIupvfq09u-c%{(e&#xzc#09<~$%DZC%Z<_r1$954hCQNO~5d`E2>H_d0Rl z4^Da3!mCye`;Pq^;orbd(9^5UhdSWK-TrO98yA}={jOoGj)qxqo(5j^A!K~UbdBN=E zWAlPjmhF)j+>~g{9T%K3Etowmm^D2ZLQ0V7!Q{O0;%hy=GVU3+<}iTOMt(4TRUkhY zs!hrZW-S+A%f`+h7tETYh0Pz2vs1ML2~+VE##c7J&fvGCYqc@M>uZ+yx-ytGO%Ewz z^3A`Tk7)OVe?$(r;mKER67kt-sU$6Vnu8hRvyqn*wwbV}Nm%pzm={c67MK+boi{F+ z&Vgyc;;H8alM_<; zy`AI59N$}x^Pe2s&9O3$?Qb68nie+cW75U3VL4`|X^y1HICoqyHBXW=hadUDH`|{MFzu`@UhdO2!G( z-)w0obDefVqgXz6n&q$B2#gnh|J_jLNQsEQZIYk0!|GDWu<38E_@k}Z?auX=PrD0T zHYTROJn<*(C38Fb(oYPl<@~nlo5pFky;)MXmqrgP(GEy##ySp2kkg`y-|^#O4n$+Qioa*`_iU_$2=p5KI)fwU zVa-?;@naSTrUzH-nHOBczk2>P?s>Y%Pm*Ly2G78nQGU#i1srgqe3k`=47U`K4T+hEv{A=Og<~^^%T|yq! zl)i)h(>iQD3q%{LrQp(+2dLj=Nwb2vRl)qCVBw5l@yuZ9sli1vgXOb>xh3O*p;OIH z%&>Lp_=F<-l;WrPPmKBe*7}M$2If0`#T)}?1q+SSgT-@$rDp|;rv(cyh*|Bls#09F z;5XxyVe5W6w-3&C+PFKm&Iz_BUK-q*I6c^zI4ju2zg_&>op@ewbE5HL%%(qkdijZqtipdg{{MR{Jl`1$XOBNOn4V{i zg#C9W+2f6~oj=U+Le4EoU98Uu zTjd?k%sMj1GjqJK>nPW_C}zFnk2lYGh4|X`XU@@HUuK!P=RCX2N*Bg-HJgD)hOP#D zwZG<$)#)7DB>p*eBEMyjdNB|qnz`4QFt%YvD6=o!N3Vac<`OTJoQ z1+d%rZKaQVndec?yY_i>#`u|5d?f8O;*+%PCR`KYqIl+kLL8?BPL zkv*TG%WzJ)c+@1~)bS1LkU5t$KxY5(N> z{^qc{N9O%Rvr5ud8=x;OPh!kk#$2n=_^oxeqtva0OdB5O}sNHe1WFc8*;s2aH+4)S_Ur)Ke43Lt7|YgFlE(pKq9b$~~Vm zh9}DTrJWYKHE||(nv8PHtzt|Vr0$w;4-Jz9D_V_b7{@;T$=PqfZ z8ULa_-W#^|^(c3Hp6s?!;-if&uv&sMVV^nvd~dT7UyJZH@%>@z{!X(^&6GC9ymz_G z8Kq5S8yAjhQ!~bwnVy^mWsm>(T7xh1+AF@-^RJy_9ULo_wd@bIku^r(s>EPD)38Qk zCU#nIjbZfigDDE*Pd$~o#;COa&Z9o0vM=CR;8=sBr=il1ULCV=so`!>7oa}Z_Q{?C z$*cIB{;-{O=YId4*8e)+Y-an=2(||n1-Ay~V`(UXz&^2Oz|+SECe!u374{`-t2)-1DtFcae5{T`afKA6oI#f}gRn2V(j$ z*A21y19QEkz_qdG`E$nyX42_cx@}@v+QPrh40G*F^R_0@`I2yMtt%$(Vm&o9tUgYR z#^;U`qsAdd$Usu;N|I5BQw0C2@V9H&K2Oe+am`HssB*}+);+mPVikiqmbT$1b!1qL z5y$`g?|&umzY_Rg3H+}F{#OG3D}n!&!2eGru;w}|qFmd`6iNO|-D*yE5)$nL`J?_m z&Hmd`yZug(Z+XmY<+P2BIPug~aZJ)92CfokrwlCQBCEK>zcC&5UY};|2a1NkRxojHr;1y%G~bk*2I6req1(_w{?O z>v@0nZcfv?_wV+*-9F!czOUP@p5}f%uIG842X+_sd+c89LF^IiaqJ1~Y3w=d zMeJ4VP3&FlLu{J8)IT;eHaj*4Ha9jewjj0$wgk2`wj8zswlcOFwidPywgI*gwi&iL zwk5VLwga{^wi~u5why*Hb})80HV!)uI}tktI|KU;b{=*ib}{x7>?-Vf>_+TY*zMR| z*hK7p>|yM2>~GlLu@|scvA3}Iv8ne_kJv2O5Ns$mFSa1I2(|>aG`1YJ0=6=?8nza; z4z>Zd5w;n&IkqLXEw%%;GqxMHC$>DXD=cd_%a3$Y(z zKgO=WuEws%eu3SL-HP3T-G%)gyBB*9djxwNdjfkJdk%XMdlh>Vdl&lIZ~e>ux;=^g3C z@W1S@+hf^3k^TK|zuL6I*k8ws8i#Jr&-OFk4a&d#bbEm9QMI%GWvel|y@5vpvSy9>w-J{QXn>g*f0^vwsxrSKCQE`^Wx+AKgDz)91L={m(f2^+gwl zor?XZ`1JUWN2e_#;;fY8O85rsPV6D9?th8DwSS0X|J(!`bTIkxnLylnJ@rrVC2<`T zAm3Vkag<{M*3j;vuyNP`=|o|*oa6XA0c(gi78{RE!2VNwL&(<%Y#eqhb^>-1b}DuT zb~g5({A>Bfqw^jMdB6B`Qa{>0R;r)#!nJ+G-;}>)ufP9a+y72y{}}#O|4IBE>-b;% zKl;Dy_;1DEn*McXd#tnlj`n}9W!G>4Lh0P%V6iFsS% ziF*iEx9?(m;E0s_EoZxZEll=j`w*<|e^K{8%Kpyw)V5gs^w~ZHtNZ6*yZKr6KjUmK z&h}WgM`LyW%4|=9Z*aCZ)cuc%|46Lv-&XgBZ*aDIYXy1n#~x>YtnUB1?hoI9wYwzy z`RiX#&HHpT(!{1c&YQ5_W?_R0?UD)}%1bZE?x>$oWTd-o3l*AB!!Tl-}RrL#*x{c3imrt@cb$ z**^(;EVVTJF6lV{5avmh{O>>a@6Z0&7SD<d6&Y)n;PnVe`ev-`%mAe$X4TzrkMd7iqNZexT@& zz9M$yezDIS607^0g|h!*>>BJAY$Emu_6+tqHtizWKL_?tzx=~HPk6sz9WTnCe?I4l z|I?`dAkK@rA!AYb*Hrv#uA}t)tnui$RCjc2A^#|_?}Ms; z^kmDvXqUWyGU^Yj`v)^V((QCxCPw$y{r(~SsqC-gX-$8^|G5839iKVz@BAP4zohX~ zewuy|=NBjJKmYW<JN2*>SgT*e>i(|P z%~{1hI2WMDXV6v|r|Er@z8rsl-X~`NFzgVv>wRpk?&}X^NueNai0P;xnA@{b8rBIF zL$f!p@V7)EV^%LI?-kj<{`5YZoyq89?QbJ+taBf<`7&HIhhoKx@@O1u+*2FLc5Qb0 ze!;zmlAkyn-{)^l*RGb@NA@(ns|WnO0sTY%*0?Y6_v9S1*KPjJqyn7%f9C(c5;(;8 z-Stw5c3&U+9JVWV5OzFvCiX+@I_!4r0qiO44Q%?CCFM|Tacm`Qee84CuGm4?@z|Nz z53%d8+p!0*r?5A$>A8OtiY<<Rnaxzx7a_ki!^z{CmGq-s24Io0F@P|0Qx+f;st?|C_(O^#5;CN}dY&9+jN_`(N=H z{yd}k;(z=5-#z}{D&lh`k6)kH=<}PwZ~R}y^S`uCdmoAW0skD;fA=$+@sjTE{;mAq zteeWewcCH%errJT^8crFd44v^$D>P_S|&WON#yVzHAT6B!7qH5c^vZu^Xz0?NL>1 z?Yd$o)Mh(>Yr1NWO_6f=4SODY1A7;nX{zY5VMDP6utl&Xuw}6ovDL6q*m~H8*k;(~ z*jCtB?2FjG*a6sK*pb-Ruv4%zu@NUy^Ot$ z&HR>>Ul=wVTM_#twlTInwh#6dtj4W&B76!~^Q&>a4WENuh+T@+djJ2mTt8+1E!gj| z$FS$H_p#ZgrF^{oQ+)aU)HkS8r)nU)LDOeT2C9^OyljN|3y3UR!GeGLrLiN5#VHI) z(wNl7Usup^kjCEgI+LP4t$$&R>pg?f>zd>|$msP` za-PxXby9Mk$>{Z2a-R9m>$v3H)&b-0#k)_R%jk7>avo~*{%Ufb+oW{elg2&) z3VNtl`v2J@jq$Gb|2n>vZD~xHiApx^Ri4)*WJ*b|cLDz6+dDs}HJAT3mh^h@8o`O*0c>LVe=V05A8&2;cIvVJlOv2{eH0jr7|H#=Sk@O6YsgM$92Ah z@^e1VYZ9p!_2U(MN^xpDdLN$KGA6_pl;=N|_p|gqjrY9P<2nyR({1PT&_Cx}Xu7YU z?~5NfOWU;^;5siu%V#58=V>TEihrGtq5f~9kHf#}y>FU$aq7Gcf% z<*VU3&qVnl_)5}Mejl#$P?Q(80ek7{ycFdP;5tu5c`vxmThaVZgC{uo-2m5lEvi2T z*Lg0=)7pmT#iR3Hl$U}hI{steIxj}`gWx((M)_R0&YMxb1)k)T+iAGYt5JPcd*FC+ z>O33e72rDWMtN(v&cjhY3a;~Vlz#x%c{<8>!FAq_^2>0Y$D=&A9d>(hreS`E@*40A z4(|-l?C{s&*&XgZR`vYnaQJ?BZinB6=XH1?+ktp~3Oc+2yokeJf|qdkba-ipe+Dn- z@Z<0b4o_!q5PR`dc6e!cHHSY9ujTN;@H!5E58lAxTj7ly{yV&x!?W8P>t3AA9sUHo zrNi68+d6zSyo19(f_HZKckpfwzY6c^@JH?N$&0g(!)w9&JNyOsV28f}AMWr^;iDYx zJtp?j9pmu(@Yft(#17j${TmK{3O>c*ec;m_{x*D;!@q#P>+oOT^BtbS4kWyI7CQVf z_(u+J4*%HUL*XkNJ|Di?;a|hoJKTG`@5S?l!$a(F(c_yPUKzgC;m^Z&ID8Czm&2F9 zzjyfe@VySd4nOGdymlbu#d*ZxPr{Eoyc_(4!{3CTcKB-eIfwrQzvyu9qt9MER~=r= z4um{@)8UQacOCvR{Gr2V!P77=O#A;Y;Tar$0-o978SN#I7f*JFmxJeU__Ofb4j&HJ zd4n3y0=Uj2RK5dV#PRb7T<008-dnfZi?g(&uL3XU@DA__4j%`v?C_7_I&V?a{Q<7? z7?t0I*Kz#hw--WQoI1}@^>yHl9Q}*%W)7bMZ|?B5@Rklg0@rzynr^C`5|7TKR9*t! z+40i^uJbHa-yh!7(Z2)lhiCq;JY45-DsK(fd7aAR z;5yG!`A6_6q^o=nT<3u*zYf=Vp~~~wg;%{eb)Km5I`D;#{~mCiN2>a_;5x5V`3AVo zGgW>ZzTWYl-aa7r;?a4is(%cw^HPyqi&N*lDz6FGd9cb~fa|l|I{XLte23qJFLZeR zf)eLP4zC0M*x@h2S2%nMe6_>Z!q+?e2>c6&rwT|sn;l*PzSZGP;5!`NAHK`s@4&xz z_-6QCho6KWba>`M66Xf9A{Gr2NgQs!kcYgxU;PAch%nrW|&+hPoMI_D~4zCB#?eLy(owu+3|66dK$FF=n zyolrHXLt#RrztA&ly-PYcsYkRgI93)KzL<`zYEuO1JWA#eFfKb1eBkK*Kz!0Ehcd` zaCilHBZs$!H*@$Xcyot;0B`B=U2t8uK;yg&*L4h(=PoXBc6R*KfOm6vXLwJCzYg!? z@D=d>4&M(S?C`sAT{l7FEL1|`)O8e;H-L|E{JaEz&EeDGZ#euj_!Ng9hfjBSx^RhS zmcvW?Jk;p=4DvRnF-_6yIu7h4k*L57y!QX}Jx(-3`Z{fPmLwfj4xUT!4`r;+M zI79zj?;(J`sn0`nbSEQ(EHG z^%T@kb)SbCUDqI*_}in`^$YCnDgXB>T-Pnoa$5k8q1-Z}{|c__5M+QKhwJ(Rnc=C* zNSuA~lL=lPuIme^z6*RR`Yh;Y`8?FwIdpHSSi66~Z=wlL(u0x>Z z&={`k5@B~yGx_*JiQw*;2hP0fk`P^Ql zGEZnKewthU-=1RznD1k|3;)**|GK__wx74*y54~Dt?)SfD8CHX^$3&~EGPNW^$C~uh#IDaP3cChwHip z+CD#pC!yDROoHn=1R783$E6%}{Q>Pyiotc=0p-zfU2j19&t7ny=arp$eBI}^KWAQ& z=6A8>|Fu0ZpUWN&{_iXN>pZV4aBn3RuRL|0m$uKx$_o!Le<~b(d!L6G!+a_AGZ}q6 zaR$(TfnMi_X}+$Y*ZE<==pU;f>Bc(gcJz6O(RC2i&kXblPP*IBM-Zot*#F%|pM+lX zRl1_oqppLX?V+{LLrs8r?W!M(Ue`U)`Q$Ws4C?UOF2ZrYg+ET|C8!90lDGb;d$Vb;cvn}gl~bbhIgMJ zz@8TUUlROn_%?V@HHl{>^CGp~KI-!j`<*Z;;XLT;z;#`SC*dvdli>Jy0iNjaLHN1k z_>YI{x)SRDQ~V@3ezw3bIeagE`m(Ns#{U2w53f!9*{e%An9EsxqU8{P2Rr<6pNE)B zj{kP>0P9hx|CjI+aQuvfM>u>6e){4^uRX~Vm`Om5ssfq@V*XjfS)MV)zJ7~hU>Zw2jF z!I1Uq1fJ;d_V`)p_@4kza{Rx8pCrf6 zQurl@e}SI}))mqCPr-Fv5^bM1@ne|ptL;CimK+zs4u90=A!eoHzb-rpuKu6JPr&ih z)pD=j8*@w=vi5s3;B(;G?|lgmKQ8($wExravvBnjY8$ZMuO^95{Y1g1z|~Jr_|w0L zUj590cZaK=ZSWg#^>fkk|296}|JV`oVoOtTMb)6U;Z@=hsd%Z@zN1%Vp za<5*R)l21*XT+Gz=#9>kMxO`|cK9#wfWxoge?fh5yqb&!>iqY5(RtZ&7O?9#TD@2P zI=?+N@ic(zymjRr;W{5(`Ea<-GuLvS1=soGTF%RTZm&DYS2*eJK(F(~_4?%!T-Ui# z{%Bo^U)QzK_EQ%g!@Tn}`0oMNb!=2W4X*3hsD1-n*R4@~61*?|)8apMJue=cFV>mS zd=)p3-qQAN~0l@sm%C*#Hls0p^GQ@DF~D zqaQIqg!|Fo{|9|YeaTnhO(HByL6n9UT_8MrI>|sIc*PHex1~Y!hUfcK_~`VapA4V6 zLb#6iR>7C96yA^W*>AbupInu3T`2qy^qcT=4*p03iT{x=#ZPuICIUXkdvV(S2BU9o zx#xc;{Tx~#9y>%|7X5MGf0)UWCYw(*-5dYlCvCLk z>)t6jLW|<3ApFb^;=eY$5xf-Zy%mNJhBtQjLU?a@e)QkNCpr8sJnaEVcPRQ2Pf46n z2ZgJjrttO-9|#}e@VW57Y00lW-TS}w@QVJ&q-=i&KL+pNy#Q~2nr^0s63+y0}( zHxa}Bv^}?mSG^~3ULwx%_*v=rSq9(W@E`G0>c05tDTjec8zbo!W}%N4@n0PNBYX%a z_^03vvkB-g?o1!cz4=WevS#x=&}_{F_z;K3`#j7xF3|^*?q`;J<*+GO{7)j?gYbqq z1q74sJ$R}7!t3Iv(9>Q#A?8p}7N2N2SF=2&^GE~By>uff|1S7>9zGY|9Nr&(32vLE z{~HUhSy1BH4xeGUS3Yr0Ied&hZ9w!~u9!{eFQM1+*#{5Kmb~1~!VR42HEEuaeAO=` z{tL39faP91@%WD>&I;%c6&C$%`nP9%y}cgEBIT*qkDV+}sXPbbXJ#ewV{fzgzeDgJ z;cpX91zWIQ`8RALdL6&@fp?D-eg{8az;DzM&azJ?M+@=OsfzIK=&QqH8VTS-+Es7K+0hWymx!ydVcv1-Y-`8 zYV=p(RXYmbN<0NxNt};#5k8LipMqcLEnM5fYJQ0sjWe9th^YrUU?|29gv z*83A}#s3-dRhsOPloS+ zkAlyK*XP>^`QfW9PpLe=L?8N=#G~o{1Ro3Ujh}1qQ`1C$l=h$bdD`dO!bhSn1dp02 zJc4?!2A}z^@M-vI1@Aszcsh6=c>NEA)7;JL@GXml>pFh%@I6a}e?UAd-~*NlKPtxT zfX6Hsa1Z?{c;gkqex(PZ$ha3Jz$*K5ME}p@S^zd z439|=o`)0p2zd9M!gtX?XTvLgCwwjOd<;JeuYv!s;pz8^{s{X0@Y=r%p9#Nfc}ndk zLkB5`nm0t>2z`0WQ_|N$U*?|Z(^9|BS?-Nn7Uz)jtR8nG(MLQG{aE6E8~rjz|0Vi0 z4@F-D|NGFdboBSoA4(-DPRDweuL$n{{;N^ zBi$d-_bM;?hUsO)C0`$ARxz%|jmg$Y@~i7LKf{Iy%f0(=3EY2+#Q)Pi4>7vVa~XJV z%Tp?!SMVQMOXAst{w?^by23}NmJN&l!OsTtho2UG4gCB9kAGfxIe6yIlCSU%!YjZl zS)Njyb?KmFhEf6%{$ z{>F!*Pfhz-2fy`^aBV+_;1P?3*F>M{1&P1+65+>aw`JjtR|?nlU|Pc~a>IUxY&PTI zJJ$<%n*6SUhkY(Q9R8E#DV4)X%e{JxD3tv8z55UP^j#(XN(tisXUZ+oa<89Bpr45e zmkn(#PbuBL_?h{&_{o8vDe$H6F7UfTPda&5JY41g8@} zREsGG4}z=zMwX`(|8tgm?J#tQq&SN>d%>5(Tc?o?qv1iEs7I6VyYPv-#n0F1KZnO8 zCg+FXq5Fj2Al;krEc=u5T-_zk)CYv`O)oo?uso%FRYyPhxabSwzmw%&KN);It539_ z9}YJTe;*!j__sc{{c2HZZ&mUC2VB?1SO1~*hK$!fb)Ed2=qti?z5MF%R&ZTEU;Vsl zc}n@6Y`IsD=}$?1L-Dg79)4Q5UVrU|ABMk-J_+9VjOg_`>pFY`{3G-sJtW=O-$k$2 zmErK5XOr_N_)d6V%`ZIioanvpba=m);jf+-URJP~01vw$JSs>w%(L7p=iqRu7cGai z=-b>7eH{LG!=HN~{1pCA;OANi@uSDr9m`WH|Ma$?yz+17t!ZU{MetJ^9_R2F_#(Ky z%=CW);SU@>2i{88&Y!M8stT;oZAkFPDfVm?XuF#PlO!WmAP8}Rd;gdd}y z$!#z6yz<{TQ1}(%uL{rhnsB|2h=unZFMJ;Q@s_7lzq8TT{z>#2|GIzBC!kM#SoHOz zd6^sVJ$&ba&o-DKdqL{OzxbHw^}az(cr-kgINQPXyBW>#|FY%Yc_D`WU;B+W(Cha# zbbK`rzKM8Lzs&cO*F-qyoo)Cp@0@oI!Xx2?W3Iw0Iy`$Hi8IRKrQy{b-T+?L;ho^o z4u8dRuRQg;A@607f}7&&?fqod8Pz!7@wt6|O+T;WpHI;1cS@9Ru{@=Ave$Ai-R;+; z9#ww{{s4ZH4kerI06qPU8=@}Ao>IC!@l*en__;|tnGCOaTX=Rd zywdk??_*QH8s{GLYl_PWR@?bSUms=$IPqk&Ck}7C6y^9SZMm1P^QpzpARWiTJEapo zhvRoVei9r%%X}VU0?o7dIf@`(JK(Vn{}n%`N;Y4q`O0W7xV-a1yrVA)PjYxIpWAVu zGQlMlJZyoi{W)0{sn&G9X~&!ukPrR;8700Zn>A=X=Nn8{VBMN1BItAEBr}#K6rFF z;l-)1@|JtYU9{sr8h!7_MZbdlwucueFT4Z1AABUd0^D1#(2H{wd?@iOwcJa$v6Jp5 z^kpiF|FZbm4{rlsfuA$*OqE0*0)Gh4S6R5;FU>Vb(v5M_4TraIcs0wt_zPDNKOf^i z2EM1N@D@~jSJl@NuJ`4J!9R==9!}oSIq(+v zzpVb@li)c9OTLChi=VvskATm7O1SFl!wYj`$)1M%Uw`;Ccmw=Qfgf%pe(ItB93E&a zyd(T)_^a?+^oO_L!(v3=4E-ZRB>r#V-AK0#y!U3dgl>) zU!C(f*p#>2J74H`1V`iNX}Eq@P}_fR-;aI&*|}brK^d~Y(0=Y&>{GsQCr^in;Jl`L}cX7PG2tRXM_{Ws`XZni1W(dKBsWXt)|aIjDC-?I{Ma@r&MlT zE%)l{DBo*bjD8$^Yz7%1cOlMr_?aN#I^OsUJ|Il^KJdP#xlxbY(TMfpU} z<5-hV`S`SDW?o z`2NF8l5^bs?sI!zk?(nMSeUE$(eHk0J5N7G%AxM_;#kk8`QTIGbBU)syi9x1KS2dV z!#i~lJ`3IvekWG=XYe8LHGF?m`>V-t{Vr)$GOz$%vAg)GLOko?z2Vv*eo+3R=-ZL* z1$dWf!aovY(u|dI$TCNOwzq=tuzA8?Al)b6aqw^8F_wGnGeG(4@%TLYhV#XbzArHp z{#?B9{KPpOK45|HG>qGqz?Xg^d=mNuxPHgAOlk>eAH372qCZ8PXW;t1*XQ7OEcg07 zb0e!yBH`J_NxqW$%WG&#Zx!0fQ_hKg#e;waXnEkM^+)vQs;#u_iU0H1p z-QoJ3*(t;`-1lSW3-euCE$0d7llU%e1M~~v;TxqK3c|mJr~Oj6=JyD^I(%Xp+2I`g z)-lm*|B!0D?6%lR~X3p_ln>~P<5ubn4R{v>NMzb5>W zQ!kHN?zIQ~PVhYP^%#7@&ywy<;&~FT-xJn;vbp76`;2nhXE&dRm;t1VdovOq57%}( z!}65c?L5o9I3tc{@FS^8Je%P?;055P;S=DG!Lv^gKf%vP3oi~Y1|NSy{M+ux|22VU z`b~IAcwcx$cwYE)c#Ol>!7GvOv*>rj!_J8R$?%`yi{WM9m*M;1A@B^ZOTJFQJHhkA zqkk9wdcLS&x!2As(#~l4L*a1Jk;in7!`>6l3;=eHbRd^405*0re-tfHW zccqgZ*1|)t2rtQTu^S$BU3fJ9Pr@g_i@|Tfm&5Ha(*I?)0|2l6l)WK-R3Cu1fb00V z5`6Ye(c7Na|22g#hj)X&2oJp_`a>Le6D;@2xjf~p?f*UWXT62x?XLhE*1&`A3;zlK z-@z-ga8!Hr7va-V1^LR}@QiOreq){%z8OCS;U_{@NCUduLb!wui_s!hH=hOa9v z`g-t0_&s<|%JVEd_%YF+g{ON{;=fZ?_-OnTgO4aDya>DoJRWXu3;Vy0@SG8%Z-oC5 zmV5QM(kahb@C1jihbKCGzt8RWUnqY`#g6mgF>viqZd>lvOAOyt({WKY+tGRaXm#rkll{(EanZQ*NP_dw!}mlXB=xeoMmV!R>7d|5qKJ zFGlo@;W6;;@CNWsmV4*xIOlvl$mjMwL*fabpJaJT=jGY>FWXVlt%m+*cn`Qfj}DtE z`FekW=+~mJ3cuA!cp|(r{F?XS4EuY)arz#7XJ_Hj9PdBFgS!dW_xAI?CFw4DQMkUh z-x{9OQ@FmjKNEhXukguK{Bp~^{``_tk2}%F4HUhOlaImIz-@Q!|E~Ie@|s&5U$U1m znWssdH3tbPi@p%N7d!-B6+RN)5#9ox1lRN6%kbF2;@{q;_J5P%hv3@(EQ7ZhBKr2| zzlLvsYr4naVM9gV1^rFp{`Y0BjX@uzY{{LwB z(N~1mp#99U+&k_n@_lnXt{0#Wixa*2-wf{y*X!Ja@P?yBe}XtK!ppuY{8Q2mnj!g3 z8Y}#~=GStsUCnm-lN#uEj~6}DzfBu>zX`&(lkUs#$k&BG0e=(T8y*2)312!<^a1!@ z__y$HiT^x&#+#x)3s3#F7a_}t6gujHJr{Kfji{K;S1wIjdddl-1c+nNYzbDn^euMDK=2`tN`&{_EM`VW)@HyWJ zx6RxCt$>%^BfKJhzJsSu6y6&B8F)MRH^iCt9f`mAKGD}jUj*I*{t#YM`F_#ogtvl^ zgl8b0KJdc_L_Y*R9zGu40zV5Z_xhPLv>)VV4Lk`h-i-McKhGQzQUyQ9eZ9R8?~EUA z_}reIXy@9`WU~#@>u)2R{x;O|l*Sc>EccGDjXz1c<4Cu%@5f$k*UIJ-jq@q=wGRs^ zjXoCM8(s)L1U?nMBfV^x;`_JnrA*4=13fRigTB=-;=clZmiv0U?xpj7%QpNZk>5!4 zhb>PjzbAdYz3-Gk@|B4GHhu!cBU_EhXm1dC8j! zd;+{DdQ2JUe_h+*Y6e zD+KR)PW-HaSA&P07d{W(1ik^j9{xPM&mW>+41Wop`-1S@@R9Hn@MiGI@bVW$zY)F^ zegUrYJHCfsx+MBH>E}wSwO)DHm9F*zf;_!e1*T{C)Ua@RHt#@a(Sx9p6&Rz5dVy$&qyl{c7bIg|CEf zgeSlQ@a^yW@C@^%UYf#N!gE^g-^ZAh{QOcF{RimVqOS-y2PIvdFI*EpV;w(@;1e9)7C&PS ziJvm~?}dIUdO6CB8G?QVdX47|c&4AkPc8hs2Y(8#=aJ>`LGXp>x4|DhEPfin_rbfs zUx%NC{{gQJzXMP2eNfW=3Xy?4@lyUJatq(-Z#AYeym^>#y)V}Qe%eUO)bn+Wgvw5Pp*5;uZMfqQaYtF_YnU%L!=BfMbE>DW$s}{jh4H*XxDD@SrNf zU#9=ewm`~Zc5UIBugBrrYYEqO-UeO~KF!E)I(%xB=riDdyX7gx`2+ey(#?nd2@_6A%@OLct`sbwf;%B_b%{qAB4#Jn^mJOSHKQ=cvv-%(g z{f|Dk>CPct;yi($z+myC_faofo>INsLZ2{P^m<(9vIk~L`uvuA@n0Gxdc7Vg0}qZ9 z{t+rcNn17k%0JbX4h z4&ERB0o;rgz2<8bd?oxF^qbYsIMGi@BOCU>gI^b36a6pn7l1zjpYQt*G3T9fTZ6tuMe(EKw{7rATwmwF&mnkxAsMI+ zA>pgO|1i^v_&F?0YJ0%?_jR51MT=YR)mPm|q#QKe`tXuBCBfIE+RV%Fe!)^QI-g<+ zJba!Aqr{zAV!2nIFB1>geC>1lz4cp?U*&(`Cv2#syM_E_UMz7o8YEyGJRf|>*8&z& z4w067`CZ9(B{)r)EJLs`F#();r@e`=MwbsMWq}*Af8R|hgGBl zpm~{t@ZTDVo@r_39R7oy`P{)(s!nZvy5w=Eu1wO5aL}Ev}{x9=#iRab45|6!&?Egx_za5v! z|2u?na((z^23!@;w}mINK1@r>VW{O^Kbh#9kKRQ8;y7`Dd$SQ&gY=i!kL1?ctKaNm!8UVkR5A4wkKnTtLr z<)HolC-8N3#7`9Z!@eJT-gMge9rT-8NjxJcx6qXm=VTu2yo8^c@U$$fqR%DU!`p;P zdp;)a%qYvf@{glEH^omp{F>7~zrjym%3(74ljtLya(;mRaIhSwjY&8ArxO3nR?-f& zzMg=$2ohll{I`L(;l=;ul*17ChF?V(js9);oKqsy_p+A4e`H)&0R1j__cD@hcldeB zz5Zt({T0o@+(CafAY=jYgsqZ%O)4yWI)19aPjwQZw&w=$#K%Nm4*d)8>T9Lko`H{p zxB6E66oxN{uQ@64tNusJz4~o@DS3Z*(dS_%%ISyGuNM8(N3-~zgYjPk9v3Q{YBWz; z?j0`$e~|vIDE)Q|^oN}B)ll^F8BgYvY@656C*>0Vg-G{(^heK&Q1i9@4^Lp@=lDf# z_TVR~u=shJ4Oj4!n)6h1c#yr}@5MiDn1BoL;_xBgBroUVVX6G~5bCst2IybsK5$+9 zbb*(uEhYAXxHGS)|EHyXzkH-N;n2TtKe0eOa1D3=aBCw%nV_E5Z#hFfxdbPA;s}?2RM+vi=R&D`&sVQ*G6X?`x<ApKjHNAP4KhP*W2qO+M%Rk%n|g< zUXbH@uo&|Y|L2|lBy^+XH(P|%ZwLIBw%osNJ)it|Z-jnMI&s_&OFTK?xxbWl743|ZE5Z*s z_c5Na+&iz8=^#fImlLKxJaVgaB)VR~4Bt;)vyA>k%YOs;>FA4c-KzDq0Dj=8 z1YUvmzZTx<38|NQ_&MhL4>LPyhuSY)^0~cU_$~Q(GUH~+SCUh|r7ic$zwaPP*B+k! z?x5tSeU03i3ywwQd5%{?c zk9%!r=zLNNZhDd&U!Yf$rwZo{yj=PNw!rRrn_OGWu;D&m6GaE6=_; zl8;L-`#j7ncKX9dwo1MNoQNL9e_6}D>zem@{{(EFu-rSJ-g3q#QTPd;Ddn%@!%pzL zU8NnKmSQ!1;Y+VezILaQ6sEw3Rg~jP+wFYIy?W{Hti!X}=XO4$(~td#pY*?o|1J`i z`NP-S@s(2!!P}%9CNcl+O)^{&ex#nnujSkrK9T!lJJ5H5fB3tk+ZsLt?ymd2#&WN| zhB*D$ZlBxl;5g-c5I^pBpl-n{GI2r2u{pn%e9f&V<++@AidpW}V~jJ-c@llYXvwc0 zzt5r{#(0V9GCj~w+bZP4G?Ktu@HP+A`XE2)F7*A_@4qe%uQdQ3&cZWuNOuDK#T-&T zWf&05!v6_py!Qn>k8}O?BYu8m{8kMAr{Q@@NPWFbL8rG3%!~8e9>V7rk{t@c+h>z< zj>S(^<=kJ@GVKoS33Pnrf)?5t5fe4EcfC};{KQ|DgXDhuMabGo$+CB^mndG zPG3ep9^UEuAfLp;KY_;$7jOaoE&c16!7>v$}KpK6@R&(TWq^%*>Y>*&Ss zgYY*Q=X}6G>b&KC|G;-JBhf#yi+mlGa@Kw+F-Iy}U%@7b=hw(h1@yx*i9VbS&%oXF z=ljCH87RUq^s_AY`rGQx{it<54>3ob>!$DU<9-+K0{kuRM`=7kyQLjQMap?!+e1;y zy>{q+_wY%0f%ei8^nBd`-uF4_PkM6TjfO8~oV<>FePp?Jyu|#F*(c)7&I|CleZI{2 zLEGW4_(}R%`t$G8i=#X6sI3`%@E-m{z7zie*01OcFKM}#ucZ&u`6LnE2wt4^jh}$G zhc6!_`YmL5F#cya_a)wf?{tp$58zWD$>Mv~{BDHDbKRlmqcfKK{XnFYKnn^Y>mJG1 zQK#Q{9KOa$w+=j>adIB=)zxy(fAKaMeR5r@!3shBON!U|K7`&9Y1H!=6hCsxX;7PN@qM1>GKd1U8c=$DeOY4Bq#OgkOE%5v{`406VS-=i;2zVv?1Y4|;!b3H{l zXR{5@i}QFY0g0I;fe83Y#x2@z8(8igF9}Zlc0ym4=Z5u&b13@7&UMg-=&xRt1d9^S z4)_*l{C3p$W9Okb*JanyuZxu9J&zcZ=LgBxrMlu+uXjqqXLJ8Y?}s#jcQ`G9^O+6P z&vGxn8=U-(M?cI+2cYkxE`vvK9U4zL?1iW2JrilA#{3SCPb+~BK>rYan03!I&fGsr z`wXXj>hW9Fa<3lu=8^K$ab^u)Z^x5PyKRQPQekO;4N12T+*FhUJsLh4{s{G(7rxZ@ zZ`X@XBRQh@&35!zIt%H5{*HQc;m&iYQSc|?e7Sjw1m1)9DK0?YBl;44i0hP9vYXj!xz|pH z(7$QD-0-U+}o}1C{U}Yq@v4$2sG!(dZXFkT_$Bf1a>=sH@*;Bh=J7)H9c;merF$Yr?+wKp!j@}HYJdHFm6KgoTw?D%PI zxfg#I##h=-`oXU`4t&eswnfhdz{c9)V%#ggy^`3Zs7w{WeFx41SdFuxL3X;HS@IX|QkOXOFM9 z^AP!td=&gL`hHG78GKOUf3=n5YY_Tk@QFMq?gnoFpU3?=ZHIl}hJ^w8%do~ww%qUk z>q)!P<8+zNLrtu6J@o_nzRq!R5&xNZfnqG}Htiwtzm4&dmQMkApoXM-o8!8Q$m zcL{JXamK^5z&ZVz&G1Fsue*l-eemMBq#>MypS0X-4@u5AFw0?ya}4i^l}4Y}a!-HA zIiEg;K7syF`;8{>@Wztv%lK*U`?1g8nU@+4AB*1LNADXfhhKm{gZ@X~Pne0M|IvQ? zEc!VIrNEw~y`?`Q`C3|1Dt0D*idpXUZ$q7SQWO21r4s)N+Cy7-#Rk&eKEY2vc&}@c z?pF9T_y+DP7K1Oe+$+zc&iOaN=XuRk=XvfgKDYOsoa^7TM5?^ z4lhbSIR$+yxclAk-tda|#Q&>e%mmB5@%9kse%gF^66=R(KkzkvuCXxQW2C!J{pS_s zYw(M{AA21~y=eXB{8{olkNa1J(U-K`Z=cUg|DfsCK))i394R~H^kX`}dzX@mjl$0m zc*FWq@4P-@=HuVs|8w-4&__AT&l1{O1zV&+xjH8EUy#J|;YQ`OJaubo%YD@DtB_0XSV12|l;q z?{JRag+906#b_Y;?TLOPJdOd8wv!($_xk5k?~Cw+6t}qm-&QbrJIRnF`d-ULukT|Q zhUeWST>aF7k0GOTWwU7m&zVjl(Q@c*xp#a8oa=>g=$EqIOT3Za$Ci8bo6i|{ZL!?T z*KVFq)kA*(9-B+@rR&n%fbSumcIY$xB7O?gm3B3XejqP7@0R==UtCw3nFM)VWPNIXx#_rm8UNrKbh*WlT2Ny+OvRB2C0eg`?nOEI74H3OXf zJQDt!)1SBTx$RGQ{$7f7M_KNb+ga{&ews!$#KR*ir1rs1^k4XX?0A6VTE|gG(C=g& zY#q;EgEy@y{c~OXr29?My~A~DEqIvnjH1_aD+Pa0K;qHyK(ysvdpN^&x3=3C(3j`E z=y^!|sGrU!PN%sTzJ@}at;^zbW1fP_A)h#J}A-oLy zSWp_DG=8Gb@ylMuJdger-pAJR=?9PEJXjV#Q{fxO$bFKR;7i~q1_}s+?}z`$ zdy;R#&%k53p_@;dueocv*Pq8b{dvAqlHan^B;7yKiK9yJ-4i6g`ufqw~~@raag68tClsgu%jiowsr zFT5(?Iy}>9$#2>N(h!EiOT$<3-cDP13wUR~8y*QCXt|f)A{0Zm;47SVoAq~z=RoTqUpXCpIe6@F z@z1cv)Poo2gV=9y{Jsp|!gE`OYi63|UcDqxFWL_0p)Ye%{OkB?r?1az7CHU!FX)$Y zqSo)0ramk2@8JU@FB5+$c-mdFghra+nR7B#Z_{?Z{H1GLn|1%rjt~B*d z{jRm#?u2#JzeM~cE%(Y{Jo7Qz z!yCXSR+oI;fp_)&*y};}J}SJ76aQrVtc;Q4TH{{;PtW_eqrW#yDx?$*dK5e#FW92E}V zhW`=HJn`S*0~n{tQDfKXx+rme$9#?Y_$dVsYcBbEAKnyRll#MjY1&!t`v#Bn7afX>ZPW#VhxtCuvIfEZzCOMo;Nnda4%NhSPga78- z-|LK@$LU~nyf+D6iT1DO@wMB4m@#cVdBpU5~w`+>dij*T+<9<+U4fydHsyo~>#s}jF)>bD>~%*j_-%e{Kf)I#Fd zeyIt3yz`!358scy-sFCDFzLR5zU$-Srxh7n2v2Mw=jH2^&p!AgJSTk>Kj(b^_W6Qy zeHUbJP9OMRq&SPy>(zRwvK zZHK$xr%rB@(;J%yPp%`+|Q|izOggEqdvR@ z^L^TrZb$f}L()HMxebErbxUY7EShd*Yycii1_+GiyCO^pAwd^*E-&yzFMFsU{(8b8sDpK)&{ zqi=Cn(yhXVW$=pJS9t`!3;uw4yGLmcC*iGM7a_L;Oxl}LUuT^A9z`wp>bGheiL(Ul zzb-s#NM_&B=cF48cfXT94DPPaKf`jb+=g+yDGQ^W7l${kE&b%<#8Vso0pk|E9&Bp4mu_q4{f+0*U)v%Lsu6w$qEB+3zf3_t zne}b-eT)_G**l~F-owv#@NdscPK&}%`2NGpVW*v3N8gJFDSDlr_pX$K`~9Yh@IaFI zkHdd6%f0?N#<@Q2fxcIm#Hr`&iN4;h%i-K#nuY$#TT<{9@&Adhx9gm^_vg`PDJwlQ zkN?fDzTWn?thc7eOXxkx*BzeA*b$!pD-Yku^{igk#K8M!llb+#(ARSBdf7Phcc!9` z=79T!_VWe23=<}_-uJ*KFrR1v@m%oz+vnPpkH(+*zQn(Ovk3LMNeOr*)&Y12KlLs5 z+F@F!+&ZHFqK1@P4r$hAD13V!0afwymhZVd>R zkK_7HcwzVu%I95pZFr@Cv^TBaHt>$TPpjjcS1kAP8^L?6Iv#rmec~W-oE!h^;a|Qb zK+AJ4Jf3yT(#!DLoW}p55^_B*Q5ka|p3^DkoDU_xd8SEueksk!M8cnAJ%NJ6(*Qon z8DG5!zdulfVd&q47vudIt*>~?z4K0-b3gM7^usPnJk#)#=<7qwJttqc(NAWa@E-a+ z*1OkFF6X_wV(@TyRo)A#2X6`wTPE$TNIu!n*K)7lh;sUk$>_&24%GgCIXss2oyy>U zGrTPAssKC*ezvUiw=Lnf;UjqAu?6ivPb$e*-Dm+z7)RB#+>0}T>(HtAX@|ZxH&C@b zkM#BSy5N$Wr%IxK*XOogIF3lxEW^)@TGFDXpx*-D$@5>$*J=1I-e1ywES-HX&8wF| z9i^ca#!pW8Jl1FH1uqV-&v;Ldi@NZ}JRhgJOmBFLk>bGK9`S#Z;GLM~J{-One$aWZ zF9CkcnHT>n-2LvSNhA5XLVF{7CM*2M$E2g>c9kg#|D?AZxcSN0lkl3nPg0FIpN0oz zkn-2|+|_cw|8(ZXkA|Bf(hoe1pLlruRjGIFx4*`JB*COD)jP;JQ+_8q*UV%l*$N{EUT{XZ@T)@I~;7#HsOY zvfS%uhB)KfpV8lYRPv?kRo;V#e=qSfoxp^oljCc#bA4CN=k~sz^L|AO%e{6!mG&7) zoFn1xdXkgjzp+k2JM>H7bBMDed?S1#_wm}o&sgq{bDa6w>C;O*(cFK25`AIIy>UW< z^PH{@`mubt#=o4j`*ia4VP>OqpLP`bM|l6IH2!D6i}GAj&vzffN7j=bW;-3tR{SS% zUeIzog1#5ef1kkrb@=w*q#kR)3uch~26dHwCJp{;TJE*Oz~j==cx}$KL7$7`QQOta z@Jrn1*M5FFe45j5FNT}5lA!i`d*JW#TyG+Ap0(U-pWV40)OK4qi1xEZj?)*=m$%%D zKd!pO&v3ysMc;OhoWHh_-;TcC)|YdCsSkeg(Em&6+1rWuxpGpsS_-_Tz@`vd2!(W0Q;{6Rh z4-SK`93};mj{bHAd>iem5`I3k+#f$X&zp9jpK(+AhhNbD0v{hG@hp^LGI!vsdW*g~ z`n-1Dm)AekY%cN4%qbfx!50q_UR!oEP2ugymmS&rzh3Z(;WB>cMY?am4IiGRIhwWb zTReBu@y{OkFs>7&wCy|ycmmhsc}O>HW@(4FobgyW%e{PkG**OL(6@!>xhv)KCUN$M zN8FP9HbDP6JdVJ1+_D-zjpruC(SHN4PXE6deg?jr_g%}vGiH(FZl&|wwxH!+{4)lN zP~)!x@6kq%(3$vYi=Pcne>lwNdChs}{?a`BoaOmi3H+?_^&#fC^Spb?kK< zKF!yMnrPnd)&A;Z^f$hhiY<))Z{Y(Tm--E--mk+KalIg^8*|-nH+fAk-!9M@#V&&h_5|V$A2vSs@@+clwIPFzbXaL20u~onK4p67vWvunYeFU8~!@Ha5t&g5X$ot z%f0hREbUqI8&i^W2Z)1m_}S*`^O{ec=T^V?+|Flro)g`H-*cWbh6PLe+2Hi&Pg?HP zSKnFEf$7fwhQ#Exh43BGlu0KRn``@crn|Tke(5 zO80(+EkG~MmBXY4KSy5xKG$i_HQ-_SBww~z{9hONF~;p%sDR<{qeDfv4*&1KpJlw! z3jPVaChx;*JO2)Th5NUUq5lQ`K_%(2YZ1>?_{25>2BA-D@6&n5MI7V306f3X?Yd0P zIJvszUioy(D;>}9aM__HJT>L7{qQU5pZO-p%@Xuk%S)VGo|!Mw(b;J$7hcq;n=5ii~6h6vE> z;v(?eRJh)UX#ns3og81ENHV6o<=%0T;M`ZAfZqLXV?6ws%FuH;Fh8 z`Tj#q6!WAszv*&G{Ns5)Dm(gOmV4);vCg_BQ9chdWu5wMj-OUfN<3O_{o$*cNPyZu zzX_jsLkeIw>8|wshnQtfzqcK})9K$%;3w&vIMDm3SwkgXTX_Ck6aUrVlQK!V_2JLM zQxBBmq7Zzzj1i!`o8?~oH=O(V{e7O-GMwylABN5uKk>ZxQWF2E z^Gf+Fa{8Z~@bk|4LJchU;ymA05^PAheHAJEn)9AgJNzURk#?^41Bc_M)Q{pv+u>Yz z8NNF(j5ycB+i_o7kN0En-uTh$@rUp$6Qm)$OZkN7lQ?tslfY-tP8wS7wUe_>z4S(3 z#aW+Z8u|d``7CjMgg%g7{J#YM=KpE!UBD#Esxr|~5Ri9+JOstEdC04h%6F9_c2!nY zcS&_sS()85j2ayo8JQJSW<+*GWIcMo+k;*~Km<`l2AmcZ(W{JuEw;*4#*2s!XrqEX zJ`q|){%s@2)*~Nh<8N^t-EQ-A!aw$VCJ!7U{d_y&uXwVJ z$Ec;%`fk95pT9EWZs-vcL;yyiw*xj%nkFGfD4|#COfY` zrEuIE&HPXg-)HkZLi%*QehzSH=e5~-tq^`^*8VEnc^LgWF3(>i{P@F+KzRMB^#;Q4 zo!NE&tG55h*1k;s{|lD?AkVvRCLaEk@R=vsy1a$(M}4EM%R4iE_;iIM4-DC*ak_r=gKX!T%z3hx7IF6T*Lu`_A|K%Lu=j=bGy^KMuIy=T9;^`FWQAJNhME z{<(|g|1QJlKePO$=NLsfzk0|Mr2R*=?xwwq!*4wraG|%yQ*M6=`M{G1-zJ@(VufcB z{)$iAx;Q;NN85i)>v5T!&{OzTttT^Yl2KQy^-;rQn~sC zZ0Ex~$Dc<0yh_W%ewFDvZzcRwf2tv;9{*z{dGLwtv-=Z2UKW#s>bM zZ5dh%gunfzexBK`o?%(VeG#3 z$AF8Uu9fAl`y9)!Qa<@6w*TmFvhkm~!^ZQigg=Y$)`ty1v8>f4{Lyz?zrV|VZzcSD z{*#q=dG)n~zk&HkU2naE@Ox)@uKtklk1>wR_wPRt{@qVCJiGnpt6}&RI(f%oqlXXM zuv*^)xa^}t$E>{T=|>6wv;Sr5ay{q!Ji>1SACkY1vz=SD{l~QKB%S!V@MA3hviqdv z-$wW^ea;&8xT*IO{s+uk;{4|`gn#UMBarX0YOSw_eO1=+w#<(DOu%Jc-}hgvUw*Wn zL-_gwY(ZW`dYce__A9OYx3m3M6aFmvFMK>dNB9G&uU*aZzX`bD`IYoXyBzfamVYMw z%h#~{r?mWITJPb$Gi>9g4AxKiz_qqsPhk0jfXlpog!U`f)30awk7WL!b%o1<=^XlIto^IoR>pp9E(ZH=m!r%UTR?ye$d4LNZ zZqC-_g2IuvB#ZO>0m5%)-l~&q|8}zgEaAt0+VJpvw)1wvpZfa-@bmPq0GIvs`E0(QQ8@BZXY%b; zQ>5F>pEV1((CuCH7dXA$Nch|5ZC)de^OCl6RqH0ofzBu2!1BNS6^5U~HjUP=YWc^s z{wQ0=ce9-{cN@ocKJ#(5Ge^C^<-@OdhK&EQtq(G9C(+Zo8gLo^+rD6s-{3e85xzkG zh}#)X5&k30*Wvs3JmJ5@^T_4H?!f$4r@HHGy zpY7bUXnN?igujsR&)jABbh-B@wH^39vwI)!V)@s-*cSZtZ0AFSpXypW58#4*lJMU+ zZGdUQAB8WnjG=WUTP3T6bi2lGn4HAK$V1Ms=;$ zy9j>^?VRpM_*=qzuoK9ipYLBaW8=K>X|^w%9=?h2*Z!TsyVgzXnSjeZn(gc!&1t}e z9zK%Ey%*TdGp@IOzn1O%5aIV`UZCG3{O1UN@0eAfD1 zVEY4Y2lvs^Gu@v97PF1P#{;qPRi?lRl|C%}as{xTcSRf{&SqnZ9P z1-O)dPj+gHpvC;Y;gx|!t;{T~nTdlt){EJyW&wJ6o(7J5&^Csf+(S(0= z#>V*swsQz@!NcEXcx$u#1;&RvAA2F;-}V$6;GJyehY9~hzNhAQ3IBfxf9V5_9!}eG zw%$(o+kVRcx3T=Y2>;A?+rWQ|@Oubs6V(;*`R#YCSWPA2tZT{a&_ToDN@1_&&-BH8 zb~vrpp8_uX?k|4F=;400JgtA$cHm#59oYH9SADaU|MhoR`4_VM*8?v0*K7Uc+ z(6h4q*sowapZ$9q&x(DtepbsPitXMu{!g?0_YnT%jD9}Mc2@s`;nVew2Rzf(>r7@( z+plog2ky50>-f1z;n0hjm%!mK0$lKO}%ij7D+xbYwKOgq3HlEc#H-z1fc$+8uL)RJ}-cR^xz}0U> zxy9$T#`067hi~L~ZX^5v?Wpdjcn#s38`eIj(fWD9w+L`O$kr>f{1^`cT=4&!|6~QPX88kz|IxqNM1PL(|3LUN{@nQI$M@NT3xq$E z_tc)q@;^xU{ivUM`@c;1EB?gR^1nqr&e!FS2)~i>@NU2Qn6`uWKymyepVq%B{HoULGynBN4jUd`$9%#r z*X;vb_QeM?zH}|ipZbCg{7*Tr4Z^>N`rVyeuNM>kLHZe;Z@hu<2h)%LG`90rz@^_e zXZLgemgT?yJ8VYY&KC*4uw?LS*v?aq*t}kUe_Pm35`I15ci&|LbUkI8@HyIP{ak)A z;V+;)>gzbKpCbIt&o#gWw*Pj*rzqDwh4A+get-wXvkCuSfXjT}k>v@0AI%Z|a^Bnh0^2!8_)q+R?ZgMN{1)L)J80+Z4-)>pgx~x?YyTwSZzTNQ z7_I!hlkLBq@Xst+dB?+_68_pp-B(Ngxm9a@itwN2dx)OLb{=%C?Z><5H?h`Qt)~+H zQR){C{|<#CUXkY!huPX<`Mb0Ib(_K;+d7!VZN3h0!T(LP8-G2=a|hemm&vQ|X8E`N znH6-q+&zSU;Hfsz+t^O)I%|LJQ?{?amIHkx;SZ*r$M@q5;b$*c!Jp%VpH27-^Rl`= zwMqExAGCtb2VPA03#dQ3UE)n%{#$Kb-okPIs+a#$>(}w{$AAlecu98e;FB!>U*Bu= z7&Gq8U(L;yuF5%bk9C3N$)r3EscBE4*{}#f3^Y?9Ct|t6_fQ!C%Q|4#+CzhY1 ze&OfceV=XXcrMeYz7cT2|8Fzjo$Em}TK=)EPh@sHdB2Oy_aCx#yvTN5@O&HRJsjtc z6aEqAKlw#_-1=3*ugU3+@MnI>*4OFq9|^xIi=X@oFen+%T^x_g8($0f!!WNq4;kdG z9M4k}&L7do@f!H48u;^T;4i3wzgppV-`qFbcz%q1|4dE!J8R${sDb}u4g5=h?~CT? zUmpwmzRNZalTWexKP(3D`%(@3ISPmW?kP5}zvKF@5&oL{8vIw-&Q?u3FRFq6cn$m) z*#1kFto;YG{a**XYF_WHY3Fa)&c{Ay{P5q{&i`Li{$8i5@$*dBF{|+Q$eQv`Rro_% zk9oL_-|sIRB>XGC#o*2dP7;3ew85Wz9~=YWzPGd|ddzTkbKu`T6^GgdgF1rFXdQPgOX7L?74Gz;CF5 zZ`RPmW=;9qYT!Rw1Mk(~;muke_qnot@hdg$Jmcj;4-aYG^LWG0KT(fvDAse6a@pwwm^zT~q#S4Sb^pep?Ov)iv;+0eoLXAO7`@n)1J|@Q1Wsa>VeA zLreeuvZnkOYu5J@HRbR99Y*IL`hxK>s`sr268_5{wtk<;fj(N{KI-E0={4|cYTzq1 z@IejyMK$o(*1-Qu4g3y;KcsaB>D=uAzgtuO4{PA})WAOr_*Jcc{!)P%`}X;o@>iX$ z=08uWf$y(@FV?_cSOY&%1OLt%__o4v?%dz#!Oy!F)Rh0B8u(Aw!0%-HKh1Sj4IKuwhSgI+WVgM&HncK=5V_`S#6CcYwh;gl@rS=XIt$X zP8{H0^nJS3@AZ12(8b~CeE89BZ=74(Y){Y@+Bkgn?BVBXi{nXWu+|x^O%&3q z_UdrB+3O52%+BVxXjn&5QED>U*}D*WKXv%}W6QODaf*2-z)gE;_CT0W_4DanpV#&+ zjaT>EJ=Ym^xZB~`rhD{20eDV1<7O>jxe#>Yl4Z@ zaf1%eH5vQndV`iOG-+wQNlRTU_MX%*9yTe|Z%}A$vv$`+IA815(soj6rb&<8*+!-2 z8k8Dzno!L6GPuZ|IPFbV8_XN_85QYC?re zGfk*)X{L}8>KXXbOcR!~G}DAz?s6!{^flXvzGfTI*K8yDnr%d1vyJF$wh?{JHlnZD zM)Wn?h`weU(bsGv`kHM-U$c$qYqk-6%{HR1xkmhJt`U9BHKMP%M)Wn;h`#0;(brrf z`kHG*UvrJ5q-@!qObWz^fljzzUCXz*L)-Tnr}p33ytV&p%Hy8G@`GC zM)bANh`tsY(bqyF`dVm2Uki=sYoQT+Ei|I9g+}zX(1^Yk8qwE6Bl=osL|==I=xebN zeJwVkuf<06wb+Qh78}vmVk7!mY(!s+jp%E!5q&K-qOZk9^tITCz7`wN*J2a;T5Dv+ z=}ylyK^TfP!B}^?-i8;c3n3g+_ukT5GlnjYMm-)@&6T3D;Omr^!B8>NHt^rB0Jwu+(XS z{-sWnJ+Rbig8ZdUlO3?sX>@*e8l9h=M(1az(fQeFa(*s#8p&s?jp%E&5q+&TqOa9P z^tIZEzE&I2*J>mBT5UvMtBvSuwGn--HlnZ9M)bAXh`v@E(bsAt`dV#7U)@IZ)yRFc z)NMpxjoe2|-A44)ZA4$)M)cKfL|@%T^wn)dU)@IZ)onyy-A44)ZA4$)M)cKfL|y7AZy%Bw_H=?igM)bAb zh`!bv(bsw-`dV+qzZyjgArhrBpe?dyRR^n#dl!16{^W9d6JHQeH5g86N0pbo*clD_ zgN?f2g1mTdG#ZYY7GCf4H+M#jhf+8Hd<@k{xZ%`^8;_k`K76u$;?&BqYmc3+8)Z@O z>@k$C2>|L3CcO;_)~oJq)I$Vk&9g}#ahbb5JFBTC0y?#6mPiQ8??{&2jC+hm$mlRuwK~7D_q2W?p;xh&NjNc zvQFwYTt1lUEl`xg(oBTJbi%{hD86z9R@{}Tlxqzn?)eJqDDJPY&fe(piPs=#IO_E` zYBxi5B|rEeWLP&#tBG$~!k zK@Cbr{`)4S3;Cl#=_p>LN$D%XNfafwciVxY8WolJ{5=b1e5k%W34~O)SGh*bUOKSX z5~IYefk#&NxwCgGQe>}YcAZzvrrN(cT)Vv6&N_1X=yP>{al3(&%L%t@U-flPoH}~! zCfrx3#zTF*<`u4VU?|_L@kwV@V#}{|@@cgzntxjLibi=QSYS7MA!5`U4>xxv{o$Zp z)TrN|ww9HZcAW`s&|E?LY3W@XhNRMb+)3eGo4u42-3uRS>0KL!26F`iPD}6Fux(^v zIVpkdHTJrCO=!BV?v)L+0acee+Ka41gurBdueFcdaN^|AW4nlhq2#ges2O(L+|u=D_9|t>->_dR zjIN98q|;N=iwg&9dcnzcdZyjJ0ru48m6IsBcp$1eBY^1$Fe`wW2rxG_eQ??@spjgC zG(H9|0Btn4hZ|`5+(q!TE)#?m?-$7y%9m;9vyk0C4Eg zZmq{-KXf3*!|K%Zp#w13IP}D?Ggb zz~cNajYlI#$p{J4i&8a4!a)JVb2~IOGd)u`a!5Qe%26^Nc}J?oBVQFjJn}983$ryN zm&W6fPcKANJiR7WqXnMs2_T-^`qa$KfrYxpbEMB4h|n-|Kv?7<=|V=s5qR;v zhu|gm8bfrdHyJ}ze2+0iC1ngzHUUweTb3Xof(9MA`iUKj70(WzUAnH_p7h2OsNL^+|)(WfL zZmn6VJzJ|L%y^>B(5uK$V%cp_K*XM9FmNriF`De(?5|D@Tlm&)<12HQ^bzadyR_Y& zEV~l9GBHNwGx$5U@{mw?a3%}JaZA?Z8m*|h%y{+xZ<<{1C19QC4 z+3c^i*N3C6&SYwC9ulBpGoOLvoav+Jt-ZFiQe6mI(Qv!7 z(J^b{U= zWpTH4SsTG43_~fFWVc~!`Xie}d#y9+>^AmN*HpH&);kai1A3InJo(s6HsmM8TEx?nyy?NJ7t;YeHVlGvsVoCLNF zqG=?vwzI>?eG|~?gz$0}UTtIAFO&MvcI~Ksy3j-VN3}SAS4F^N?p&FCY;sQv}4l5es?S~2_-Fc zTd9CFgW!?LHqyE6){vANz+2R$EY`9Q9nyzY-#N~s%>sd3%xLtR9q3I(k2YOzwltNx zf~H27ifiM0G?_lGX=u1<#0~6hB0pYoZjxJtIh97SvkjKp^Em+-BTQKs#BgU)D$HgY zmSQVygxmEgV})@@1kctGB3@{6G~DujYidYVza8ZwGO=l9HM`(Fcaf$jG2O$j+*Uc85FA11Qq6I7n!)(F32Zcw{i=#MZnLf^%hUqon~$ z66rLa!T~xbW85DXa~&gwfxAMB>U7Vw$0EA=L0AX24%=-ERx-!2PTRKF)ck>YV&>nw z3y9$`*s4g-sA*+;Brw#41-yCPYJ}L#rL9B%PHhD&b_SPaeg_)2+qhueGGaT3G|)r0 zFhu|Rpa%R-DHvyjxc;C6qVN;r3T$Y1V7(K_^0m*=uAneakUZ-Lq70pdrRV%CGHojAIhR(Z;J<#aYI|>hMZzppJhp!gExy z99P!d)coRHB~vLiavuItCrqcbjjgJRE>)xF;|Riv{u3vLq)f9!{Pb(ky$B3 zS%&B+cL-X~-`Uz0*>?Q=&F9NO+7c1FH@JX*{H#K3P-yTw+d4qwccKI1-hzqU>xg*qxyyIt>4q_5XA7Du zti7V8+tiY0FqW!GxZ)9{aIR`nBM9dSRMex64FoJL?j7ob*w%w&o;=(hUaab4t$zWj z)@`Px){+YA>y*8dW+CLPSJfV$>#tAXF4*j?^YBWlq1!$#b(CtGg)2r8ZC-2rjegS5 z+NiTJ9Lzxl?B(e2M>xE=hMbu{5dM=akw4hz4SGVn@c{TN20>>QPJ);g;CnrOvW@iJ z@o#KsnE!E9V)RglY%-945IBQfykAVFL4VU9ZlauwI%O0%-r8}1FTx0>ez zr1$sYIvTw@6NX~H;9b${fZ%k!&X)DzPt>&ByJW<^U*-W34`vKs?E(UFT3Z8K8pB%I z*&xlvkAW0xI|iypZ58&1LS%~{ixcaXa;>%A7W5hjk(eGvS}p*1XFMKu%TGep%6iK2 zIgfJPFfwrw1`l3DVZ8=fMp#l&ER;CXeg#WUA!>J`{e?Qor94`T%{u>)_G`wg- zNMYos>ibfZ`%OxB8N_E}*Ef4k!=Z z^D{ma`vv+ww=;mTzvPLDToUv2NN9bVuh+&qF(6P^Jdmr2*0gvX6pvut872z|Bo}`w z9LYKfAS_Dw-UiFi=5*q?D6kn8rhu4Dt0xC6PEdKn1)I!^#81`ekRDMRb+?}jxC!{O(9*_ni9IVZIDPIfCjjcN=2taeSn_t*k~}1sQ0>KKE~QyG{);djQy%x4UYSD?P4#0OkuDInL6c{g&!Vk~ zH()SDWdYb`w@366l?nvgagR3GQVHx>6Iu3mE)@GmzT3_@huf6rC_EX|q-}h+852mm zd$~9b2&18N)E?!nrH>1V&zfBu?uY}gu5$V~uMEATH`1ZQ)*av8^tDW(I1*v+hj&zN zwm|UeGNvOhg)`h{oK!_1?sX2j3LAlkP0IBz(zFyj{ zcqX2k3b2N(q;Ql3d6veq2F-0Wyj*@DB~21b+EH+6^m1^V!%$qK%BrOKjJ3+kK~G{n2g<$Yje&MSw&_p>kwIMWJ#?L`9(_7DAV}qEI=8 zrJ~Sc93l|O9tNv#wpy9Wl)Dq@sX1^&n_s zno|eo-MSUG9~Wu2XQdRaa`mNVq?B5Qj27E2fkkUZ*RnOD&t=y8x5t}Kx%dk06aNJ( zx0;Vc&MR5w=jLarAzH0CyrMxn!45SyZxLzMaaHfOHlfW}flwgq1`%Fcj>%Y9K-U6rskc&w$J{TKQZ?Bvb>k^oKxC)E@xryPQ%oT#k-$|97* zt4oZLWlh?MBQhBGl{QvgT~%OFy{aEe>v(Bk^+OBXgk)s7U3ML2b=jayr9;I*BnZ@I zWpQwyN=YIwB`%m<9#K)tYvpa9_;Fi`#7r`uVw2|u*Q}}? zagqG7?g4PE)gUqDC03>n9*B7khU1%BMy4W|%z-@Y5blF1!RkZ#FXq+(7`q4*1MSrv z8g#V`eu=F#Yf2Ql43r)tS{FX4sF2i&mq3fCgs7t~#wzk|6(}~1Yh_p>VC;`pRiP%3 z>T*WC6AJVc9T{vDy@@3P-V+yXwH*_hq>KJ~KY3#P%5hP&Ww2N*vmwGZDNRHrwcT5= z7~^q%QaTpvhz5!J0;PH;vuvkhoa~9H zbk19{N0Ajadjggs^Od7A(gm|Z`qU;KO9xe@II+EoS!2Z>-leJ9LK4C$!{!urnIj7V zL47!@g5xCXRE{L<=t{B>BEy*Lo>jtC>#KYMH>m9`8Y)S@1tlZaDzUC)BehVh%BDph zS?gmJiu(guWhsHvYI`WY@!gAdCZO?E1Rqy+C7ySv7d0f8Y7yBOblr5jiUpvu^=x%( z%SE(VTgKZms;e!gO^M{v#`@s00FI2xp=miI^vdVn9rwcS{UI`Cg4J?uH$xQstCd{{ zsfdtR`!E(=vo@t}A(>V^EL?}hQwW@gVu4ei$Q8YW84JLy?E0;HuD?mSD3m9$>+@_1 zR=X&?lh#KYu(z;Lm7~9Cq2G!e{0W z)Y-LqLFBRcko3nAoFF1LHcd=!tEE<9|o*HjJEtp*sn)yC}F?C9SfCWW0BXN zbGng3#Gk|-Ne%+qp*UH^cXV!TlS0HgVldq6TJd=M+~qM&8dv}e4U~-xR>y9;AjDiz z`!96e_1DH%^vc^j7s1S7PFWwO=I_WNNh>mH8HH6>udpL)!L7^MAEITV+Q3jS(M8(a zXo~XGqLw^VHz^8)RIKYao%G_L@T zbnyV|UhcYYEWpaRj!h%d^~%g#V(nNT7XgQo;{(4Fij&_SfMWPji2PSjSODqDV!w%k z2yG6Ddi4AV&&AgzI}piDsvlJBEv;Ol5ry_{*+KYbw1MeTz{9A0*r4dGwQ*Pw))=caC^0ncbMG$wU2s!E;-YtPXu zb%drgN)-e>wJ>wwfc*j?0BV&$#CWV8cQ2fmv!oHB2vqUv&q`!b>tXY$*9TaC)vwWE=N*wIxx zkf=y9hG?u&k;H)-4b$!X$uQSeH?YRhxD8OSKtU;B;Pe_CQZ5;>dMT?RfZ%e40k?q0 zTCgI?3X51DwX4AVcRC=ehjqqLIQDEAQHv1V5zpIj?HpTDNByfIv^z8}m#u4AHA~8ax4$5&a(yZ{Qc-46HqC_<8x`v`6Vq(vi)!SO7W+Q`X zvn}JEkWgcs@kBwnsO7wZAZNG7oMco4MpUr@OR#PP_4r=BhF!;k7`N_aqv{Al=*s=( z29NBbcfpaQN>x3DD-s#Ud}p{0tE%Mc z3f3fDlu)(uDTsaz$3oNwyF+Eas>aIlkq~YS545W+Dt=OE9WgXWMKLhe zy<<2EQ}>Rc$ypyikaJ=6vYT%6Cp(+HRlG($p#~{qLjP_LH^;j@4YAgFhYW0QxAO-u zrBsfB%)*Q7du*a}(cv;?aLa-X#}9m?AeoqE^eJ~_CCmyw9MMDJu>e5n#1!}yU@ zqHq+vSFA&9X^VLkHF*!fkt@!3R@Wk&S-X9D6M7s%r;?82BFO_i-wI<{G9ay#&A^7r zCqZmyHPL-bZ4rxgaPz2?t64{NPuZwO&q%CosdcNKJV%%b1YNQHB^WSq+OC{OZhuZm zLgOSPO5*=5PgGKwE2mG|b|6%3pP;lOo1I$VW&oL5IOzB79qrBsit>~@qtT4TFi<%d z8LK|chEQ%ba*)+_oy;@dBl)Tm2eu!QT5^949Kg3qdquXArmex-8(^T7yA+EDvNJZV zv}=2M5!RbWOUN*s@PB0!-n(oNiA6|?sAU}i|fNf{g&k>YkNE(s5l8gdCN14*SQ1|@DI2%j-9 zl0OMvnOT^233PRCYGKxLv50%%R`;~YcibE;8}0!GoyC^>q+DUTFTkno9&l}(lDVFH zb90L>f@{B8ppa*5Fa|8VPzGI@3v9RUR&y6$QYJGp3|S4^M2wdR@r(*j9gX-P-5~0J-&h60b;#gynb zmIGHUdq+_2{Ib}Z!U(0oWfS|Zvs)TOD> zHvY%8%(dR?&IZ4aFK>@vPu8r$CK5lhK zT_n-aMyBQ$4$ZZ;&mloTFuHDm`2!i@4lh#GAb<#5@O*F0n_t7br3GW1)m6!F<7g2z zZwEek%|X!8LK5>#^R%@iLFT-r*jc-v>BTr^;YDU2(Qi9A;lRGacW|um9n3(#qeI;~ z_JCFp{oy7ND;9$0!sy^G+sDryzW!MI*r}uKw(V3LVdm9W%7MpEvmQ&r zBGqC%S&JKT8OG>dI_k)fhT*wST9Cu{#2M>DY|;qpfijE{E2yv|SG52(i0rMvgXKb{ z7)u)f8k1|?R?pgp46Nd`k3f7Uk^nRrJmmGvQS9=uHD#WBl{~Cfef@ystU-^sAW4xS zcp>0oV3JqQ23yg+zQmy0?v8iFvp^qFn6kL$Jg+<}$7pk$Z}oA1wC_iY5_O^2n*1Nq z9}`#Zf;44Vcdt##^o?=*SpGhc`27zjPA#u2pLpJ}npX`$b(Z(Lp00b(5uPKQz+P}{ z9?H|yU{wo4yoh*{Y$Wjwza&u;b4sP7c7JqinSloHA!8a71iFLeXE?aLHN-2fw>snV zExEHUt2kKejPNab8@Rk1hbWWxs+>N1c%^RE`u3(8jMxi>qId4dTheUk#X%~2SKo0^ z(}<&$Mh#uqW7Y^9J45gbM`8Ee#V|U~)?!b#b z_81&qEMwt$#uTmbXe)rqJzKbLQ%VKstNNXKg4NKb1}KUjx4JCW4>ZUAxoLUh0ykAb z6K%L{>(~0GQ>V{*ZH^c=avW>2StJ`ZTb8ixvzPG%bCgQ<- zFY^emwFtSF{ZPh=;{IH(svY; z-1qSw?kf%%bWdy1cDeZT!)4`n5?&94D&2}dMb&O2d2%p4@EZR zaIzyx0jfYXyA%Fm2Mc+~5x!GYXQCb0d}E6--h?pmP!v1-ftF3Rngu()_pZK!oJ4vO z4n4VyIv3!(uuY_Ai>t_J&$i?U`aMFX*Ze`-^FcXUoLT~tMX)m1Y{oZLRM8iep98Xl zc@nEo+C`4=)c#@l)rL<}v7OmlU$<*GAqFTE7FvO&7#kmVuL`~pEf3aAoB^^{*}fuE z;TsIB352;|Kq(b)A1d}-g6r`P z?k)N?*|6GRHG_@GIqWJQzn!<+9`(sh1hTO$l#1bgs6;H)zgonbU>EyQmsj%9^@rGy z_DNL>Jy(-D@E_u1>uJwp|q`hk%gsfC!u*Jkm8bs%~b;%6ZD?zVP4pdx!7vxDd{ zvaNz}vcmfE8?uhzZO6{YN=MBi3hc1qdyDP&ZzD?8VP)*ZO zm@JN9_q7|h`_~)i(|r*2AqSyy@di5}pL@YCr}4tWVC1^R=*3WAI-R7eMTy~s^_&?+ zs=?t?N3BjeBe}c6K$Yl%yh=yS&if~5Q!6bUkn6F?hy29mKUc?32?p55zUI?OApd1MWN8SRx2}jlj zB5vf|hE&L%=o})PJ04~%Zx66GTmcj&sH)#yO`D#g{ZnAo4?V{xq-%9nTRe^4ACS0sEMpv6E5WF(|VVGs#kA|VQ9229&$ z6F76kgk{E7I}BTl3uL0elwG-mH~|UG!J(*}*O@q=JWr17g7AF3%nRl0Uo2ogvS>w0 z2c<2sOzOlLFRv7H%*GvN1v7$uZ*T0tPJnx&)wqYsN|q9cY-w|Jywg2nTG{ntatM!3 zSj4xuj0!B9gR!4 zo-i>ZL2;#W&0gN~Zh#TX*d&~6z7rV+_}%GXnOi^d)(lF7vEgKRuHEG&S@ z?1X4o-X9{2jyv4eiA~5r+?U~M@iN?qSmzaRjXEv>3uvOQkOzrHr$eJ#v5`yz^Qm}I zoaWHove%A~+i46B=cOOuUDc~0nfSp`#U$$;xSdMpAC#gBoQNT|G=i|EaM6iG1G9tB zrXj6jcoEtwo(8!_mo2h7Vc%fqL6e?98i3Sn?T5~Rq{2Av2Wv5gn1xb96E&nOfR-6i4PP4Zx4B65OJd} zIqTaJ*$SS-ftgRMUKk&P%q90pQy6o$jhxAXl6*PB#;y_@AwhEw{0nW6-cHy zbX}S#9i$jp2xK{nH$8OEpB^k}Ue)SdD5xSs2*;G1{YPXyN!wLCt4cgE@ei2x3{jRK zs=8zGTeOdyKKfi+sQgS4%cLAqveW&bD%t&GX)CO5y3%-|^AN8~g?XaCjT_6bBf`3Q z^6>JCSvZ4jQ};}SUp2 zh&Xq`nfrsl;Q~dexXWKQZl}pqi}2YeWSbVjP)!L6`}*46L<9GZTk=#6o-3}lE(sVY z(GsWch_AL#+uGRb34em*kZ^V7;m9otQHBN?>qj&LE=xcHIt)jsw0jhhK5W?}0|~4R zuO{|qwg|y~MO8tOuf|K$+hb%PRBeTC292aSwGxx_3G(9;TkRUYM>H&y15=WYLS=(& zs747DM8NPx4u|oPCu044d4xxF7g=Jlwfl%7Q~fg-IjD3HlTpPIBe3lwbOuL@E0&c* zNg|_^QviNGgScwlMMXFHw9xrW*fFOwE5>N_St2qNA4JdXa zn8F6_Z*;yv#Um?cxu3v<<(ee!=Eevv2w7OJ6Cl2V;{pQLQFsITF1;mgohSx@OPx6s z+&&*sqb!$R|1j-AURM#G+W0m3pZv#*ukkV9#3OvoO7B8)A+)4|HU}D6vM^UKSD9{* za-FT-2m%SZw7yA8C54A<4_iyOD6m_O-+)J7hvjru-nT@Ho{%tGXH8l9TKA6yE|9DK z)PvYo-UuWt%VHYYtb8M0kW@x>Atk|(q;oLaPP7Ll&=ARp)P6Ds1C@}|0%gWdQ*TFf z=mybqCO_HZNFTdVQ<&NukI5+^XFrBfEjmH+g5-Oemrj+AOytK`m-Rs&71Bi^JT9+X zzhZ($vY48>AjC(3l5FynqU{JNte5pekM0=Y#)s)${a;cof|^;yN;tR|<-k-lAi3N-G3DJq_SBCH)s{iMkRuf`wE; z*MyE3zpYEzt^{41Fyi|+m=_UruiFn=DOj4Ncox5&MqH52@4+nLj>5_VmqEOIk&I^$Fs8G5#LxD^CUsonne0Ah+9;EM5^sp2jY<<=`QY{3~{V4cGItL}eD#bpg}S;Qrr2ioqc* z-WF>(cGxHdxauFD=^{A7v%i6ISW3~ajD_x*rkb{27y|Hv2{jE@~--v*S2qIGb&AKDRys(qKe&Eyv!~N zT-)wy9K6;^)!XD3!pdtlBu)mnQ-eCL4LRkAra0i5=Ly$i=2B#m0QZ2$3sRQ)>R=BW zSn_N&jT8>Xz*{V4+bI#per%66`V3@^t+avQ1$by6s7RcZhwDRG-$q!U(uXV{4vpZY zQEIboTz9?$17a2`1ud$737trghTf1d+=MC0A<)HcEL@g>ga>dAn(l^}J)1^E_Tlu1 zUHG9czSz5tD2`DHEAv|T99(l?XYp>qy=UY~t(69J#~U54aLC!49)O0e(52ek}I`lQ^30`G?2n`QyX zwt1CEEKVp42MiJx6s~I|l?Wq;KvE$@#qotlk1d@vF)FYH@Z-!esdEe@LCZOR*CSr2 zc&;_Rj7_oyMF*@>Wbw;#VjwiWjeVluT#oQvC`K-M5;D?YA_#a3o-$_##w0|G6^a(!+12y*x#N(KwiMSwM z$VT$=27A|p%GNsI0=01@6<(yN%Uh6ADeU2}C((`&RBbqOtzO=%9*o_Ean6{zJ`^gC z?0yyrV8e8qIJFL9(V`lI{W9HxetN3@G{mdLaf%AhDX!d+0nq$Y7;>x51!{Zj*bJxJ zFuP@pI@P!(SgX*utD3F0rB#)bb0bzcDL6$Xtaw};u%iryTw z(u^PE(m*ivRAB~;IUTLgpqSf)8hrN2Yray2AhapV6Xdi2W#CmoS^>psWXCU?p7Yhz z9ie4_CATuz+i0OnTP0^UYIxEB4ETWTQRv3VqZF7@Vpbu?lo}I_JBi6KQ%f`^K2?{9 zzBCRYY)M^XcTsWIcp~rdHeyO`yxJ?2Dv5OS!OFfhCM;{>iZ0oWRZDChnLb8Vs60j9 zrYPiMwUm|$XSKYjT$!ys&Feu@^K89gSuu#hEs_L zs(qBii@aD#P7TVQx(Ra;ITE{1g>ct;s&k$V9zaX1ZSuAsG-1y}@xfT3Pi4DMoj4ln z4@Ymo0|Q~2M6P!*xy^`p{ZNs^k6<2o(gCJXCnOA#J7Xz1)<;(&ys7SP<0XlGsV70C*dIa zUDWho69_1g$D)^-Ng*;jLBJk%`yv8(x>_FD_R;NaZu+E1`jXN&ijo5T$84oYm13AE zMi6x>27I&{>Mdc!ZlnwIPqblOA!{)d0P@qcOqjWgz4@UOh7mKxu&xxaL!tOakBqe> znQ-H(-6ZEJ&=q<}J0c2x#8PxN8dsVacLXVR;1p*YZ>0Hk5*}S9MZ!m#N&?`0#q}^> z!ki5&_WXRVoMnD*$$hceDdf_OJ5p*?A(f(v*wK8*{jF`piI}M~kew5<82NCcSocB! zgDp(nN2~yE4ok^kZc$CGMn3b@wlUznxb>~cy0>EJFfS>G6huv3G@yV1n;LPKiPCA* z(a~ea58rTdrM-0e)bSJ7at9QKLcanPNLZ6;)t*V~@tKs3MTy2b2m+U?9bsNZM#@>j z<`S-bEGJlOKHN_f_dqJ)f+moELwx*_q1)KMw~hmqXKj#-$v4CX4>1OImO|WLyNoD8 zQ6)9KN#1{S>YqKmk_{r>uN;DolBZN`@+fI3+$md~%d6s@(AU{q=yxCr3^tx0UNajO zBVjm}HMIKNU}#-|G45CmjmCzQi=+}Roh>Zz*@K2?Od;LHkn><}gQ-4nX&bd6jd-edE) zxiB7{EP99y!;~%bNlS!b(3GB$4%~^7pJ6cO{al#4R06RxRa?*{x8RqU(BxpS#+3hY z0qEzo4~h)cm@SqHI+`k>WN6_*+?&kMCDv#a`PEOf5Y+3GQ;M`G9V}}ht(Z;5*(rVW zZTiTgHEXb5v4MBoMx;*AT)8D}!88UZQ6Zc^tg0^3wtSJc7THE2XIVaRZF0ldz~G5? zV7l)rpB2o)kio^uOQoO?@cR==%VACs*~p?t zQ`jmliz^{To(I(*!IxdQ3Y38_>5|*p5xFAb7;5ddQQCVd3>0yz8l!iv?=!T%b{~O2a*m z7Y}i!}tMJ99QcQbHC!?P~JW*!|@*$ChMPVj$lr1>?_|dlBUlS`TuW+b9q&1A@p=9$glw65A{G zW9hKZ?2Z}^u_G5@i^w=T^^R4UC~c9{sJJz;t1?tX16n*r0GzFQ!OZ%j%Oirf2y3Y` zbF9%IdSV_WS*VUA1_6D+Aa8<&U1fF;;m^p}FSord&7s^j490Z9jg=eskik{!Mp6Xb zA7N*~+IRzU)xjIx)41%jMQW&sry)(#25rVc<^)fd8_y&N7XT9a0Fh7@E7)baC9(W+ zT1${ph=UP6Y_=csC>4C!mq0dtkTv|hlvD%*`=ZJ)*Z{W3#R7XIUi?UfDm4(p@i?bo zQ@_f_g0vISst9q8)7iY(xs3cO9xD=dW1I(wJZZc|NaO{%!*VDyGnP+o7L?2_H2x-o9Y7C`%te@;I=;oHZh+}91Bf0%Y9v0X}xqJbRX@*pI)S<`V zMu%(@NkGj(dAlMQhlph5gH|9{H)Jg}Qwwfx`^?lY#ew=@^fQWYG#V(Gj3SebB(XC@ z#hW`&WX|oRrl^eJK6w$_37svxSWEX-HrGg(=TYW%B^|YuE{-O@q^ShNyFX-RTn(g) z0;{uaP}By~w^^&6CBnV50PAT%UKHIoM&v>kafxl4CiFyZz!Rsz?T|96y@TME68RJn z=jnAtvtq`cY_W>Err`Tl-bdwW;IO8cn@DVhm4^FwK-Ua-BT`N7Aipj+7|6lNKnc7* zfQZnC5sELBs@|#CYG7x#BJxUC+=y(&7zC`O$_m8?LNqIjlN_tU=6g{c6NvLY0zMF3 z!IOkCk^f#tFaKfoAddB6(M@r7Go`|SeH9jm&N>Xpya~Vi)RLD~afC@8P?+~^CN5oy z?LY)m46ZB;ON#Yni<_+OHyS*tN_NMIQ%8^8bZnU&sT^F$IV49S*{C74U)QE-@dd0C zC&jX92T}EC)$E&QQVk9XP=ltdMbtxSsr85uAu7HSopX9+U)X2WT}xulT)$839YN{x zg%L-Lcn!=q9+pQ^l!~&lr1y}WRucv-c{`1#IiD4(qnH`)eQ^8{v#=7e&RsnhS#*;= zn-~FNlV{lb(SXb-Y6EsY&O-$q75>y~p*HH<4{&7-nf$Mpoa@VA+9iz*Y-DHTJ^>kP zWK1*Jr0s^e>iLP2dnV$SmOC0|8XF{yKM;J^%y6Q(_GN<^ADzgKN|(Ts{4p&_>dk}& zOVY>tY8KL}OCdqz%S_OT78u)~<$_ZbhpuA@#uJ@3>}Rk^QOpkqUYvP`6SWVLk9`#O zb#0ieIuf;%mx9NMiirh=JP^5cqtjjN37Y&Xi5Ug42P4XjqR9v!x(>^-**mPnZ@4WO zWVj@VrS5V6=KC``3dwtzAJ=1}${V5(K3PL_i{L~oGFa$@#Egd%N)qyjP^Z#eP6L>& zyfM^8#^msh_l7zP(GG|yr=vt4Mfd4|BgdT zc68PG5deWPn^^!mhT2|OxY$18?vli`P&BXdkK8EJ4AjY%lZ9JH(8CT) zf!cH+UR$;(_a=vy2qD-WK@JJ)A1N?mj%GbS5#0Vz=jZTET-98x50Piceo#H3J?Q5< zERstt?o4OsYf%IOg3XKSW(ctPsen;jGbt1*Sa3?|u5xF8C2P$P%QShy=A^@Br{lo$mZ}-<3izR5#&1leo-OrlUvLq7w2wD`Ka`m~3O0 zlnv3T@53WQILb(7iM$_0Ab~1kJ{sZKkODwR8L5J@5xFjDA!RW3FgltZV>6AG-tgN zph?r?WT?o4x-vvYR4cCBF&K!81J7<*sI?9@N@s>H{AQ=EuO5kYtGCtlto|-gh_zB; zTcQ|{a4Qj+k7VLOz#~ba7$@+{-c4uvC}>uN_4xX5tes(UUpgli8pBtwJW^fM2R zL|uT4Qq6)}`N;AZg!pdf{6f(B9lIF2Wy=Y>G6{z>3hMx4i9MaJQCY%mB8amU)S!Kk zk7?#&i%|9^`JP~=P~!5OlRVpDHN_;;m#J5=1EYs#dR6LOjdEFN{#w;JXfE`*8E2x5 zX4sB4xD!nXEi{p_kk$-SI2&Nua_n&*$f_dwp6vq2aoC0;=M;B4@Kxg=>_(Yf+Oz&M z8KPu=Geoy#c0n+~TG>(B)Ru@?e{wFc0bM;1F{T>p6W4bxnI>Uf>$VJm4WwCh z55gLQ#G_R{GIiLCl~RjA&w*(rLSoKDMe6Xwxp?svTz#V z@Q3&+ayB?8c#7QCq!yN^{ojP2<*68vXt#r zM8Jpfq66@47l6VT@J01A)h4;G-Nu3Iej4qkzAxa9+Xh=WmYpRyf@d-Jr%*O`r+vuW zqjXP+k^sJ1Y~1Di>gi#>8dtTeBXWK|9^vc2kAB~Bfxt{HF3uH|PT>T$)u#yLQP)rkvqL@F7N-!7 zD*Ov4=&~N2vFupIGPwhTFaoSE(QzKb>{bKMpYVF0d>|s(Mp8vWSTPak5Zy$Oy%405 zS82)^^#%#q0)N$3Ji$w1rBn$g%wq}ToAi+6A-44S+X|&$;pCQ$Ipjc&>}D7!VhqSl zvHl{JW-C#sIJj~ZVWMD8;TD~c(Q;m2#1)Oi7wsrz%d$C%LqF!KPAaPvlu|ijL*R3J z&JeF?@Qnf@WK24^GxJG>g^NWg+vl#N!CsNgJ)%OlwbolMvwTI7A|z_F#2ZokDxU$- zOQH&wTtwP>Gr6>tRg9tqRuLNu{xWkU@=?%UM;v!)9PCsj08*{et~8iYhzGWlEa#w2 z+`UUK-x>FDRGU;T&Vn4D85WH*wavXHj2B{K;o{PvI6GfQ0*c}UhllPxcPc~IJ!Y! zl+o{@l})CG4n(TkL2hXDyOJv*Qb7Xgr`v!Yv(NyRA9mO1R%~{_9J2x2QH5sS*$e5__NtxDDt-loTY?gKa}nM%cTAZDq0GP-QSv ztR0maeL&5{#2$;BP`F#SHaJfpX9+cp@qF~OzWTX%K znO$XU6x$^y&z%&T25=O+KfZcD71_s~CkKMk*A2kKVA(+sqZ`gL?5<;j3pmScz-9lt zD6t!UTBU-Bu2%7e$GR3U`$D{Q>Oy>9>C{V80LmI>v=raoKXbsyh`f$h;tK<6HdI^J zl2{rs59b?*6ESAzOBJ07W7DpT3=0X=<(Y5!$4kU)`m)M$ zIX_-%Wgx-jtTkiqh>*UZT9Ljj3AgC2@#_y#%9E{DxV9v|vdyH1LI*;CxCLPp;=Kuf z_P6f@X0KcViMv9M22Nky8TLM8w`&XE_7M^<0sjR;jP`*WCotVPIuaRKcM}$W7gQ)I z%14{Gi#bcFF9?v__VOko(YzA1#y6rFb2o84+#%7Kuba-^e5#ucn&a0AHu8mZOs`RK6-WR`+XNlHl6DXc19ow9@X4$2D<)iXj$P#=9T`4Y^p&%l2nLy`D(WK(o}k`yfm*yLWZqi-PT!u*b`j~!E^~xS|sBv4dcp;7W@ex)~ZuH=d%zlxRWa9@@yf|{t z$iw+FR&R@GFPACa3|aIV-mVx{J@zPQ=xfe4i&tO;iPBax3wZP$5L(7m!dc2=ppaUX zeT2ytY(|7t7XZtGm{5(+90x#hrNZnoICA6np14>N z&R25G?CxwNoEFTnu#L;eoI~2^ycsuG2j1E~`rK28uRpO=uqaX8tWva-R3-r0Nn0); zLHuU^NF4@s6LOsR2m{555azjCX>9b_A`l1nx)Adp_nWP`d$xT4ScbjKrxO;zT-o7x z7ldH{io_oafst0=6A4i+?GEjD6_k@4+=0+alz`E=OrlZi1&$#|90!A0!LTywsd(qA zqBiVZbKvFgPKqaJNYHr_&%4bt>p!MmIU{5J+EvdYu%b}pa$51_N(3IYQiQv6I;W{J_ZJSN7Y zjq3c#EF7idLiPjrquN0o*%EdOF=_x#*V!SC-Tom1OeMYAP9Sx;TVzo zy%X}%nIkFz+N`;SYOFd1_pqDdbI)G+p9~<|i?B;+>*G7?#Fdce@Es zQJ@m1ZkmrAoiZE|E-Q#U&N{FoX)C3jJ<1jK+*ngw7Ki0Zh*zp&bSW#0&S)MmSvV)x z#y*6|aKb9_sEEC+jwAF6Ns}11u-TAVAn-nmaF&f$x>1x{pwy-7Zv%plrj*T=G!~WAxuF`Q)2eMlv77IHt2Vc(o{z@VQTHfg$bRy+5qZk05r(p zHe4o8H;gUO9Hua24j_n?X04xLs|Z(Lw9Yn`#!RvJ#=ghsD{P zT~P{rj_9OQSJ$ctk;GXhZ)-|>Dr|#cbNZ^o(^ZINiZO9K$c^C2%i*{%hG#`IbQKrk z#gJ@+N0gGUu55Ym!f;LLhHbkWqf-m0mD45MDRh;lW__e@TiGIklunLjOx-GGxg@4= zcq5M&qT4g>9b2^v&Yh0T7;_vWksi{T_)|Tr`OFKt){GN{>!plWTlH9d*kz@3ABDv$>2qI)G<@2#RcMi*Vru@KIBv&y1C=cyNeJ;2 zO`?%%fUNvSxhzpxN^Tg@1C&gBhv#BZ?-uLUM_z>H_?~f1DQ74_%hze?@e9@>VRmLDRM8p@5{U$NOkA!C6-GNCY$SjapR4)l49hQLtGO_jcq zD`u@CY08Re;6adZmyy}GyN1`Xh$#X|N^QNhUSWu$AfS6xeG%GqnV@*UgvMbX5y{!o zyl}X5lSdpNkrJuU>M0oe7j_v@e^8?YWXFP^tY&JqlR$%UOcd~=S>mg3F3Z(oh8+2h zHt9flXE?4^;#-4nMBmq9*o$~b8#zpHnRz(M4j#FQ4Wt+6b%F$YWm@oMBu)rXcX{&aqN(jjq7E@T$v@VN(?V=?=a=i^A1XWlmKQuXfxGU|97aD4e0rdcBCd z9Fl1&(KrL0*IoA@>{e;mHtfm`u768Or#wPAO=f>eEt3 zBwpWb4Lf~|ceatBYCHys+JkU@#^{XH*=W7t-tpIgav|TiYYMx?_ zC?Y#!Xhi}QV<5T-vHmM$O1PAfx!WSLO)Zrgnu+la*Aw+xC`r6&@@ZozoNT`IX3s5W zWQe13yexPDE-FV1E z4Swz-QM59WEj-{MT~?BLC?-DB^4hDHsVeHYlwxB>&KjEgl)Ri2Tn zYkIik7>#BZ#hF#F5Y`5-WDia>7ijIWKE)Z)(W+~!eS*msxVL=s=v-XJ&-8}uqyh(k z`h|GrlIxQ>1KM#kO4#2B#7D|ONJo4hj3dWZlG>gczvMLr#x`w`BA(c?T|@*Aq2sk zxUad`$0hH*k6M{-TU^!|Pbv7AMe-y}EDJbQz3H6hl=ODVRH4yCnaG2F?W568aaIP> zz19R^eLrON%F8&75l0aaiN!83AP@5HHa>+t$!vT|lR$Z}`d~G9mD?DPR8i{g- z#kzMf92GZ{3p0A|ay^CGc>MZsT+j(V=ZJOqzN|1uM3@P8za`eKhBaH>9A)etV9arZ zYwgHVhocM9a`lvhHQomfJZ9ADL|~gDXe`l$BLA9skZ5-*PHs8A(K4?Ct8Kw^PcGMt zOj1miVjv|f67m@=MZ&5|#60L+w&w!~&>bRpj$rCtmI>vcP+V&wJy}uT#cJdDUBM29v z3>KJ(Ws?YT7rFFABD`hCm8wDvlM`8V6A4D-@)jEytU-7hJd1|>=!BtH;V2YJ+2KJq z^6iPs;}Wfn#x5jq0#rfu=d4$MfO_EjV`VrI!Q!4k%I1|EkTRZ9vKEmJc)7 z;ibLR3>A7NA`pT^g&@@7m>mUb1g69Hnr2Gpc_id3WkeHHxiDUx=oyJPxR!IvyE(W= z6I>D~1$2<4!*b-pkl93nS`iL@*@)s%T;D13%tWU}cKnrsYJ$`Qo1&ToRzO{O?%rJL zw9E`H;zhk>c|yVs<;r2FI)ur(jf@HTPr<|Oj zd{=P`iE_;biAd3NYolwfx%T9VBTMa>shKG#Zns(=IOg25+C6u^C^I{S3{GgQfJiM7 z%r|A;DOh?I61o6+7A63sUYAUcle7?C);Ss>mfVH=^eLh!`-7-|=?$!4(B0Zj3${CaiPH z4K-52F$rS^sA5ec!Pa%aX=@lNTnH&FFwGQ<1T>dq+vHHdaCIJZrsr$%bgs39m$4VW zb@6)>7za~WXAHDg5uaGbM|j)0%tx9x*~%$9Z3Oceb
3jNfirnD?F)?lZnFw`HE z5U~#Ychhpd_U3gFQ96CjEIlS=icLIyEXi_m+LvC&X)%$)hFQy#t!=SrrUfzVQhOV> z3VpR`X3xs^H+oy!lgp*~>*h(zN*r_wfi$;eDH%jSYjxrG8evh=LV}@!A{9@TBB&@f z4K9SK45~M)uJ;9V5`f(a=@wOmh|FA+!q!zwNMY`9IU*?ques)VV3h4?@W}(M9R%N$ zS8lz6yfB;MUHdv>^_kdTtAgaiO#;fU_-V%W01r zx9UdriOsa2oMtRTwo*n-c&Tvjd^N724vkvtWfWsJ*nQdZkJQ(gj>z|ej!S-U-7v6z zT2go!uJwpdF?}$$Dk)In$Wur`S4towyfYl;U9h)xMR{6S&lBf?*=aIb+sU}n+9vWA zWDT^tmpX7tS?<2{8M0_f2to?PF;LnHttqq%$q%165%g13T>U1ubVu8*Dafh4Dfr>1 z^fhby`)dRpK5}CJq_fe|XGj-#t~G_r&uGs+v26Op19jscZL}dR@>v^2;iK+IeSX`9 zs6QoQWorswr#A2c_bHK6T2o>NLZPADFlkNo&O!Eo{k9EBqxfZ2%}Zl7{JYeWzR-XU zvxBU87|U=X-2kGE;{I)G3M8y~yINo7-(yk#EAa0t+0L8!#Xr9;YhTL7e~-tH`{JM1 ze<#2A=M&<>_-*;`Sy1ZB zJoEZL0GLeC$8+5y@B@GT`O0V8Zy&$ccl^B?kEQ+*E7rREX8Yow2c%>CN&Ty3I+phjAHRS8 zLRSBF*7wieDH0$X@P56$w`TS4_y1XW|9p@a({!A{qghlcc%^POMkZB zf!~5ZumActKTu2f=gVjHXZSDg{}1pauK(n}u=@Ua_eVli{o;6Ys0{DH_{VME+Wt$M z@%DS|GcWJI{qr8wl`ZS_FR}imhV?&zx-x#Re;e!H#`-sX10F_y`=ami^$YmtdrN-b z{dpVr-5<5j14OLTw~yQD;Ac@M?*D#Y;=Dg@pC6P)qd%|jpZ7uKxV~W%6+U70pX>|E zHoTsHJ|wGu%~#55v|87E((12zLDt{LPycuX>Pr#F-#hMa_22k8tAA$BOWNnY^k?fa z?EgRp_xe|7aG9(8#h=*}_4&Km|4m s_d, - e => s_e, - q => s_q - ); - - simulate: process - begin - s_d <= '0'; - s_e <= '0'; - - wait for 100 ns; - - s_e <= '1'; - wait for 10 ns; - s_e <= '0'; - - assert s_q = '0'; - - wait for 50 ns; - s_d <= '1'; - wait for 50 ns; - - assert s_q = '0'; - - s_e <= '1'; - wait for 10 ns; - s_e <= '0'; - assert s_q = '1'; - - wait for 100 ns; - wait; - end process; -end architecture; diff --git a/vhdl_intro/vhdl_intro.tex b/vhdl_intro/vhdl_intro.tex index ce3c35d..b2da496 100644 --- a/vhdl_intro/vhdl_intro.tex +++ b/vhdl_intro/vhdl_intro.tex @@ -11,42 +11,64 @@ Other than a text editor, the following Free Software packages have to be instal \begin{savenotes} \begin{description} - \item[\icode{ghdl}\footnote{\url{https://github.com/ghdl/ghdl}}] to compile and simulate the design - \item[\icode{gtkwave}\footnote{\url{http://gtkwave.sourceforge.net/}}] to view the generated waveform files - \item[GNU \icode{make}] to coordinate simulating designs, compiling firmware and generating images - \item[\icode{python}] for helper scripts + \item[\icode{ghdl}\footnote{\url{https://github.com/ghdl/ghdl}}] to analyze, compile, and simulate the design + \item[\icode{gtkwave}\footnote{\url{http://gtkwave.sourceforge.net/}}] to view the simulation waveform files + \item[\icode{yosys}\footnote{\url{http://www.clifford.at/yosys/}}] to synthesize the design + \item[\icode{nextpnr-xilinx}\footnote{\url{https://github.com/daveshah1/nextpnr-xilinx}}] to place and route the design + \item[\icode{Project X-Ray}\footnote{\url{https://github.com/SymbiFlow/prjxray}}] for FPGA layout data and bitstream tools + \item[\icode{xc3sprog}\footnote{\url{https://sourceforge.net/projects/xc3sprog/}}] to load the bitstream onto the FPGA \end{description} \end{savenotes} \section{Creating a design} -A simple starting design is a D flip flop: +A simple starting design is an up/down counter. The following VHDL code describes the device: -\def\svgwidth{2cm} -\input{d_flip_flop.pdf_tex} - -The following VHDL code describes the device: - -\lstinputlisting[title=\texttt{flipflop.vhd}]{vhdl/flipflop.vhd} +\lstinputlisting[title=\texttt{counter.vhd}]{vhdl/counter.vhd} In order to test this design, a test bench has to be created: -\lstinputlisting[title=\texttt{flipflop\_tb.vhd}]{vhdl/flipflop_tb.vhd} +\lstinputlisting[title=\texttt{counter\_tb.vhd}]{vhdl/counter_tb.vhd} \section{Simulating a design} \begin{lstlisting}[style=default,language=sh] # analyze the design files -ghdl -a *.vhd +ghdl -a --std=08 *.vhd # elaborate the test bench entity -ghdl -e flipflop_tb +ghdl -e --std=08 counter_tb # run the test bench, saving the signal trace to a GHW file -ghdl -r flipflop_tb --wave=flipflop_tb.ghw -# open the trace with gtkwave -gtkwave flipflop_tb.ghw +ghdl -r --std=08 counter_tb --wave=counter_tb.ghw +# open the trace with gtkwave (using the view configuration in counter_tb.gtkw) +gtkwave counter_tb.ghw counter_tb.gtkw \end{lstlisting} \begin{center} -\includegraphics[width=\textwidth]{flipflop_gtkwave.png} +\includegraphics[width=\textwidth]{counter_gtkwave.png} \end{center} + +\section{Synthesizing a design} + +An additional Xilinx Design Constraints (XDC) file is required to assign the signals to pins on the FPGA: + +\lstinputlisting[style=default,title=\texttt{counter.xdc}]{vhdl/counter.xdc} + +\begin{lstlisting}[style=default,language=sh] +# synthesize with yosys +yosys -m ghdl.so -p ' + ghdl --std=08 counter.vhd -e counter; + synth_xilinx -flatten; + write_json counter.json' +# place and route the design with nextpnr +nextpnr-xilinx --chipdb xc7a35tcsg324-1.bin --xdc counter.xdc --json counter.json --fasm counter.fasm +# convert the FPGA assembly to frames +fasm2frames.py --part xc7a35tcsg324-1 counter.fasm counter.frames +# convert the frames to a bitstream +xc7frames2bit --part-name xc7a35tcsg324-1 --frm-file counter.frames --output-file counter.bit +# upload the bitstream to the FPGA +xc3sprog -c nexys4 counter.bit +\end{lstlisting} + +The current value of the counter is displayed in binary on the eight LEDs on the board. When switch 0 (enable) is in the high position, the counter can be advanced using button 0, with the direction set by switch 1. Button 1 resets the counter to zero. + \end{document} From e685cdea10932b762228750063cc8bf5299ef68e Mon Sep 17 00:00:00 2001 From: Xiretza Date: Fri, 20 Mar 2020 10:19:31 +0100 Subject: [PATCH 09/11] Fix Eater CPU publication year in bibliography --- Diplomschrift.bib | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Diplomschrift.bib b/Diplomschrift.bib index d10cf11..1232051 100644 --- a/Diplomschrift.bib +++ b/Diplomschrift.bib @@ -8,7 +8,7 @@ author = {Ben Eater}, title = {Building an 8-bit breadboard computer!}, url = {https://www.youtube.com/playlist?list=PLowKtXNTBypGqImE405J2565dvjafglHU}, - year = {2016--2019}, + year = {2016}, } @unpublished{yosys, From 52f3c6b0a7e2c4afb1c0fbe23f51f0d0184e3608 Mon Sep 17 00:00:00 2001 From: Xiretza Date: Fri, 20 Mar 2020 10:17:29 +0100 Subject: [PATCH 10/11] Add information about ws2812 driver --- soc/soc.tex | 21 ++++++++++++++++++++- soc/ws2812.drawio | 1 + soc/ws2812.png | Bin 0 -> 128218 bytes soc/ws2812_timing.png | Bin 0 -> 12296 bytes 4 files changed, 21 insertions(+), 1 deletion(-) create mode 100644 soc/ws2812.drawio create mode 100644 soc/ws2812.png create mode 100644 soc/ws2812_timing.png diff --git a/soc/soc.tex b/soc/soc.tex index 5f81d07..d201652 100644 --- a/soc/soc.tex +++ b/soc/soc.tex @@ -113,7 +113,26 @@ The Arty development board contains an RJ-45 Ethernet jack connected to an Ether \subsection{WS2812 driver} -% TODO +A hardware driver for WS2812 serially-addressable RGB LEDs is also included in the SoC. It was developed independently as part of the curriculum at HTL and later incorporated into the SoC. + +\begin{figure}[h] +\includegraphics[width=\textwidth]{ws2812.png} +\caption{Block diagram of the WS2812 driver} +\end{figure} + +\begin{figure}[h] +\centering\includegraphics[width=0.5\textwidth]{ws2812_timing.png} +\caption{Timing diagram for the WS2812 serial protocol} +\label{fig:ws2812_timing} +\end{figure} + +The driver is designed to be attached to external circuitry that provides color data for any given LED index (address). This can either be discrete logic that generates the color value from the address directly, or a memory that stores a separate color value for each address. + +The LEDs are controlled using a simple one-wire serial protocol. After a reset (long period of logic 0), the data for all LEDs is transmitted serially in one single blob. Each LED consumes and stores the first 24 bits of the stream and applies them as its color value (8 bits each for red, green, blue), all following bits are passed through unmodified. The second LED thus uses the first 24 bits of the stream it receives, but since the first LED already dropped its data, these are actually the second set of 24 bits of the source data. + +Every bit is encoded as a period of logic 1, followed by a period of logic 0. The timing of these sections determines the value, see \ref{fig:ws2812_timing}. + +The exact timing differs between models, so all periods can be customized using generics in the VHDL entity. \subsection{DRAM} diff --git a/soc/ws2812.drawio b/soc/ws2812.drawio new file mode 100644 index 0000000..bc0b83b --- /dev/null +++ b/soc/ws2812.drawio @@ -0,0 +1 @@ +7VrbktsoEP0aP2ZKgNDlMWPPJqlKNqn1biV5ZCQskcjCK6Gxna9fZIF1wZ4465FlufLiggbacJrTdIMmaLrcvMnIKv7AQ5pMoBVuJmg2gdC3XPlbCraVANuwEkQZCysRqAVz9oMqoaWkBQtp3uooOE8EW7WFAU9TGoiWjGQZX7e7LXjS/tcViaghmAckMaWfWShiJXUsq254S1kUC71g1bAkurMS5DEJ+bohQg8TNM04F1VpuZnSpMRO41KN++NI635iGU3FKQM+vYl8+0/gTF99Df8F7/4p3m4/vNJqcrHVK6ahBEBVeSZiHvGUJA+19D7jRRrSUq0la3Wf95yvpBBI4TcqxFZZkxSCS1EslolqpRsmvjTKX0tVd1jVZhuleVfZ6koqsu2XZqUxqqzWw3Y1Pa5aX7moo7hpDHiRBfQZsGy1/0gWUfFMPwD25pW0oHxJ5YTkwIwmRLCn9kSI2qDRvl9tQ1lQZvwFk9rOkCa17lzctOqJNlWjtFnB9RkV4UGNWul9Ikmh/mkes4WQor9oxHJBs1I/dBK5hPtHWXGisvSxEKui7PU3W7I0MjZG2+zrmAk6X5EdXmvpztsmzkXGv9MpT3i2G42cwKOPC9myYEnSkIeEeotgb6Ynmgm6ed5QJq5qAMLKfarzA2r/um54Y31YxA1H7Fo9mQL4v13myewC+sz+qc8clF56mg1+fZ5DD0CTUu8fZiOkEdanwp5G2KCRe4BFTl8sQug3i05nET6RRdAdlEX4xlnkOPZ1sQi4BuKzjwawcsGijR5JWJTKciAXLkMHdF/CwmTW81o1LFkYVpSjOftBHneqSrOsOEvFbhn4foJnpS7JsrwiHHgZmF3ot2EGtgEzOgAz7AtmCG8RZgegDszmbr4szMiE+d3oYcbQujKYzWTiBmB2kHdlMA+ah48swoHuqRGON2SEA83z9rYiHNfD1xXhIHssLHpJNpy7ydXQT6XfrY3r+x0XiXBbRcU+NapjuP00zmCPd+Ps2V/+Xwt7dCB9W4Gr71zZUa//7LZg9jDowDxwGobMW7obCFxd7F4ZzAey3fHD7Ln2dcFs4yEjG+sONt/pdNNP3+lg652uzgl6zxDQqXeg9pmx03ncMa9Ap9Jku/e50YUz3bc3cOrbW3/ZgBlBGrjmMVmVxUVCN6/LL0B2uzJUxVmQkDxnwRFWDBPvH3tbbuCMD8CsZWemBdDumNnumK+av5EWmIq8jiLUUdRzfoHMaPdldkfv9yP/16ldaIN07GrkjaduEASOXIVeaIPYZpweSNdZmM55bNENNF6mfMNVH9oh/UU3ZqxOwlBCk48f605e5JvZp3NRqM37/JAXYvQ4227nm4UDab59UaDN29+sSEePM+pkRsAxw7zLZkZmlJdREm7Hj7TXvrgCeocP5aWxma4YIPeQp6Q8pS+EaCei8A5kKIduXPffl/8CpLJaf61dhST1J+/o4T8= \ No newline at end of file diff --git a/soc/ws2812.png b/soc/ws2812.png new file mode 100644 index 0000000000000000000000000000000000000000..0c309a668cee8fc9afaa993c166a75f742f81df9 GIT binary patch literal 128218 zcmeFacT|(v_dW`!gB7fxQeqj!LQ#<}1V;gtCZeL0Afh55y_Y077L+=U3Q7wSDT)x0 zUP4p^Mv-1Zk0wBX05K#Xq~G&0GoRmgt$SyE;NI{3Z(PerT;aUu?6dc?pS{oCClAkB znXOyBeYJ#y#Jba`PM(vHSkopUvCLxSPvC!KKEHel{#hRI%jxqg!Jo*L*B*fPt9(yg z4v>&AybJx6?0$m_l#tjVar)%(^Pz~Tp;Z-Lu0rV_#ADa@qEC$NJ#y{AndidTmDkmO z$=SF^eD=Wa>$85pd}rs0`-I>3?K*#8L%Xxd^Dh?Xp5w%H{7}Gv+fcyF5dRIbXV`PP z6_r7vyulNzhdDw)aBFdR1|1PnHDVHF?6rJO)S;z8yip`~CQ3q5YWd3bI}Z6usZ5p6 z1THIgSJ-R(_y7LW4<37V44%yozC4w=W@Vc!Pv^a8)%qO^9~P?ZFeZ_NMfwY){?qS+ z2O96#BNgQVC%o8 zJsu({$wSe9V{iC#PSE=PVJ1?n+GWc>p;ZeC67VC<);=_F#gi>W<9w zl(EbDe{P9!=547NYlYtP4!i#GcmG=0I{EdPKB0HKaF?Z|&NNTv4*k90N%e1{k{P8E z)PLKKL!m(IcZdN7g+*W;@u_YTf3M@G)fI5BE4E$_|Jyo_IvPjSeqUFHzp-lNKCOIA z@QJ_nBd;0=vnr&G_qUS#(}ukNwhE{dqIJ5W0jxvIJ0pA3UmLf5A6Un2%hEmnZ5?gC zVDIni^vOuC|Z|yS;z8Rj-ee820K8 z{T{zl=55;FX}*6-3oc*Dx){^8Pd^ybL6R_nwCv!Hwla`i8#r&JC=Jq7e#Swkp?uc30eI75PZpJEYPkKK z@%m@lfCtGwI#Tvcl<@$_l6l$c`6(`6KHezVy$8y#WzxYL1J8&2&X$@q%m16G{v)%$ zeqbTMLO6zRrKG$-wyV@~^poHEeJJr0DZeCbDWzP;{XR98e zyB-|--|~+VwBDKQzgIv2gG5}JU_n{zln*2EEU!na^Bm7?$+HHO5aq8;AZme8P3fyBR zPd+Q-L6yp6QeI<~G4D%7Ln<~YF2!*2Lmu2zc+BIMCU*6POf>tYq1r}qGrkf&S(ZoI zGRL^3*xE5WJV2%^G`uD1G(6M7j>T0OO!ntB4%D=;9`{sP2y{4C#`2=EGqJqO=Mk=b z#G1!rm-I!cVw86WPdP?Byp?_a^Qs0uZ%?w$sIO#p;p3_+(mX)uC8*as8;s9mj4z?%piwlEH z8xEx$v~f-d-|z88k{3Cho@FFg`f=YH!G#)eWnR->a(u6RgGA)PlWR6I%Y$P z>3?&G#Po|qbxGQAqosD^lVWs=&1a5_zJEN0L)WLml9h7J4ND$ zU#wGos87))KiGM)W}~@{*0iUXyJ(j#(@y=OA7R6k9vi*0@KR!^GokK!2&yXT9QB52 zpfzOQNrfk&`3n~|P=4_nm@FYII$rF2I)mma=(n+r*oc&AMZ-PtGE`nr8V0jk+>_+j zxw?(2Qc2ZP>;LYU`-uyCu-!9Cq;pssz717E)S%qaS`E9AEO^OLGjOD}O@v{%582jM z*9CEmz91VPWr`viQ!-;7@J=^F(z1yv!4g&Fq}K^eofx*L>~Wj`{2=?kak9{2}5) z#&Eq2_cXQGtYGs~%vl2CyuEg*1QOwivgawRrqB~9xRb&|li%~WS^nd2co6E|tFP>` z`KkLGjGX;DQlgD`-7odrJoPx)^AY!xC`d9LCs!C0tVll_N{9KS;cMlC?9QV;3U=8j zoSfcPdh5HOCO70{{M@qp*7a`nDs$Asq`0a*lSO%}Y$_YM(X07Z{pPfz$@L#{?uA9m zH1c^^i-Do?6;G;Y6CUXRkweBtZ$U0B?@vXoT(qJRq{o@Ibpggk@B-vG+gR`jvtHcj z?mMl7k-zgTxgjDo@rb%~H%=`wiQ2N0TWz-O@iMNPY4fp>KQm1d{dxR{y~*%RAxAZP5knT+A2m#Im#sb3r=#O&jlv^^?{JwC@x4 z2u(?e{pcfAx}Nc9cid-5k$`gho`aFWQIXNLCp2n#@oAYkYEVh-tn4~(jT)U{BIe7H zYW}0Bb0LOK1)30<`HOn67ZgHe(F!rnkr3`Z{yXNtFz?HEu4n&CeT^2+b(i4EjcekL z%r!DZRcaW+4QSj}cC!0dcE-HmSjK8}ek;yx5%4GnAA9eqZeE8|a>iJDA? zx*P17`?;rC+(E_ru<+5`ahStaG088|q$#4jfpoB3ukoZ*5#&+Z2oPBbr-(|@Fzx@-#r{81Xk)gNP&w0i+s_BYNs zZ5KCNKlS0Tb95Q<_a0LdDCA9T0eSrKBxXheK|Urtr}?32id%^U5|xEQy%V+3UeCMM zX;VMvB6m&ZedL1WF$Jk*dZZvfMD1J~ZGh1z=aV5h>S0l6r@l)sW07j`I9Qj_yJc?G5||WwOLpZC_Z3~@|LtBjf3xg zar{>H(PS)|QZm9Fh@{k;I%!AP{;!IGTT| zh1?%XKk5HHNfFt@9Am3B$=I1kv4c8*qQpBD#Zmqcn|V}+Kcyto0hTk z=JEbr4%o6d`~3G+R}|qFL+Kg1Egx7fh#we@-<8TJ27aOlhlaS#na)Ry!~x%{!jSN3 z-$FBrV1<1{;Kod}SzPtIcXW#kFdZ_Z zC!&K{sI5;Uw32|&L#ujDhQ>vmYrOVTpbfB`*k^$I13N-4_`EHP_jyEs`ynzl1bvT* zBztuc%CalY_J!(ClZjlxew7RWT?ig@v1E!DtUiQ^N zLobUY%ug6hzmYOdw!x%z>-Ud($Iw7Yo@cV(N}6&ZO_9y zn7~7JMnTj(TzTBK{l)~RNYQjs03v~qOZUx)43~+c_Z}&HEP))RpYZw`W}FsqO@SIe z`b2p3>+rq13-i?Osv6gs_Ie-E=QNJAncV z>`fRV3v3rmfV9hqP~kh&N11;vL;YgyPO82BHFWtn=OGPUc|8H$KpG0)CCv6Kpbhjg z-}zagI#4r>4%izR4bN04%%Ci9Pf9=c3E;7*O*h3yC9~%W()y4Pk4>%GwP3$caOWty z_$>e~L{C>J+;9oCjqW7(R2*T}wG7)+eWUVX3e6b5qfDT@961XcniGh z`qxvEyiy9&a}Cky=LFc4Z($9`(!L?iEJ}p*6BgT#h3*t(XnFh+?K#~u!HxdO)5L3i zsZG}ztmC{jLqx-7(0$2Z1<_60xPc(#I8^n=RuC*Vu5{bFu!2$uf#w#EKyW~C`e$uN zorgaDerHCn7wM9Eo>m`bC*Pt5Ot>+`M6J_**oDDy>rZnXFTs6+!Q8iLo{K^i%?m^y zgNTU~SctA2>LYKpnrp*uW6X(3JGq|HKt*w7U1R!VNh(h7M=@uCuIX92=@F2y= zhNz~!J*5Z-?1Q6gls$@mz9V-{ouG%GOSAc{tV8!px$@BHv z&*fs7D415ncflUAZuF3YvtEgimqxj4R8E+RQYI`=Kd9QLwQbVGodG8RBDF`7ieV7rWN4YrC6Gu zP7`9oEt@`b8c6x3hDPy~Mw>Cz+*k6>lr5R)>lROl+MZ2!xsB5KCZ)_kk>DklvL@E> zNP_Hvnvg4su=d&E00u_^EArW{%%uo4Rtze2^C;0y^r$`zPKS-PT!Y%yMvhtO{cmE$Mta;A zam@RhxJt^MChTyB|3^55KG<}O*akV#r+b1qyCt(h3c}tEY+-tsUMl28CwD?Q7wbjS zm$p_(-WxyL^D;H%wf^(MZ+VIyu+<%{o6*$w!ZMp`Gkn(d_vVZc&vAj0UmC^=-r<=W z%1pf{i%6gZWYum7YJAy>kQWc_^q}TVsNj={9lV!wuU3pRe-qqg$!;FwnJHq{2ibHP z+UR}7VCFI~4FptEWqoCXrZHyO52ER4uDHDRoo6uD>b#7Y3RCzBdx}2)Tg2Po?}EsR z>*pEK%TDAfZA3?w9okE0rrL-Vo?`mNoV;5#=g*6F)<*veP2DX`uPI~RUf6ngXkeW!GJz=K*g+fi{! zsnoLTCpB1{+*@V+J<%1i6K}V0-6y!OIC@9ntdxsUM)Zk}Jn@Wgq2k`w7s6)yhIqFq zBWvcDJi6&9DsJ>C`tDnFS8~n~T@GE^rU1TqJg`tucc%cmH|~&c4URNn-xydM)$y!% z?d0!Z;>kOl?k~`zGmvjKSdwqP{Ihg@fG)B{CKR=eIBAq|~U(y)#~Xe>>G7f2wDg2wV(v;5l#=?KBTmI&*v%QkfH=bkmoSYfXPBOF1X zpeJ{x{DUULOon@!!9C6H3~!cGN!w65RAQwXnX!!7$9QbR{XCDwgGfP1y_(s%;)%YF zW>y4HQ;;=YsGS{$LGBK}cy|~4%Ro2Ig!0+P-}r{@tq?DK(qaX8AvlH^+5$XbVQxND^=*uX2rli;qCH{P9%J~= znr4Ec@GeiRf2aZ6>lpmIpf(R&cL;BcbDh(iS!OI(@4~nTO z%?$M8v9O{~KLek)(Oe-cFX|lewA0&VV0ZpLpDNt|Wz!BUI(d@k5LFw#Q*-0^|IV4p zWOMVWD__A0lWUIV+cgklG7em|z?h)pDt|S<#WE)F>3_%iS6=@kQM7Po_peU;s}uh} z>qIX`s_E0a;KcBwlY%@x-|xB98Da0!50^pK=Nqfv3LFO?It8)ts_8{1ZKPP;si{ST zGLQJC4yLz#CfADGc z*o`UJZSK|mm{^{#%jwoehN6weTF3=!D|oS)O5ZecwFi-T#^om&VFJR!O7TQR=K6H36X@s-;m z4!(7>K;P<`vb}s?0_hWRZO#bt`JD}yR2&{x#_CL!K59-d$t6 zEyU+)bWSw!!F(qkUFJjWOsxvn!k}pF&|Vz?*@q z+u1(@4P9I|Vr841k9UK|@`MNOG7k=*8gX%)VFh+#()F(jXy+}ZUtV&SkLQD(@c>b# zbMgJl>d>Z_fcg%STxXujsTFl9nYaz!TO#gYpA|$-gX30sJDD&u*ex$EQ^La#P8nt$EJY*X_aR z6A$yXEx>>->q?atM*njGU=>Tz;9lhIW#r#62`O0L30NaT-G*c3dIn@JIiI#DfB{MG zbNV+z;sJD9AOl{OA5DXzCdkPD@gzPEGA)1qO~E!-<|=wl+ZZJHHoKDXcd!|ru11nP z?cdUXAcjL0em`a3w^ab44=i!kO1JM(j^vtnovRqE^NS4a`fQ_70*WJ$sb{SeZUI~V zFhdvu8M4e*5Dw`dT-xZD5Z{{p9@0;$*;jNqlXc`;)nW2J$N7Y)NW{m#fE#_O11*$nRhjBy#+=qolgoj2L^n6A^vb* zqWQM0kc;1`_cOu8WxUug@@y9)-Pyl)r6lk7sQa?_L8I#xR-ZcLcyBHUUVUkT?|uG$ zyC3hgjgRWuh`kA%IQ`<{rufh@38egbrz|cQv6)_^1Zvii%PBlJ--Y{J8o+EG?A zg-$<-JTO`4?wm8N36L_!pS%NVruOGdrvn3OUU-DV5+Ctu&DV`E%=S{(?G}71inEtpw@e`xgh6;lJwh;av zW0IE*(eoux_T2*>wm|W>hC;N&8G2a?@;_SPe^XSFXD4qYa#Ezrv5WogZpwt2IVO82 zfUyI@-Blo1`LU3}ApNw&!S}D2ODs2nX_(c@^TwNX%s5$wo~mkNHF7ACkV0L zL+!)O3Fq*z;aq-Kf0@ND`ErG??2fH$MH zTmGx_m5XP8`MVb2PaK7c2_Z);mV0(^a=<2av+>?f41`VY#g%)Eop+YKngoS7&ZObX zdJDb^a$GY5OY~LGI$q!Q_3p}Fvo)li@xg{_7YEqR;fje#P-p1eT61G_?V8YdxdedW)Z7KQCVpO!!nq^~!myJB-q zn$PzBNF;}*=Be;kf(a(3xa=1~wP6H&(GiFaY^?_1-#a~fYDuygW^JQBSeLPxE&6Ec z{D9(favH`C#OwspxqL!37Y<3MSmWYC{lF;Li6-unSRiw6>$5xK$tMe(dq+Tl;;F6; z$SUsDDAA)!)cma3D*oi2%r3j>trhhEmmQnDo`F4Bwvgs;UUZrtSPnkS*3HpCJJ`RA zwpyV^Gl7#(Rh6iNSlb5Q;OX@9WF^X>IO-fB=-S(!-Nx`s!ZHUSuC*}`b+B4m+-RA4 z7#&>}qkq^#^l_|qQzIsZ=Zf*E+M0>p*;8%NB@~u<|L;VQsYfYmi=OYs8iA8%Z={mE zG{5^=aXl!ACF7=GYYoxlErxv{wQoLkOx0+5Z&; z|0nR(?AKJ^qM)bhQJvSbp3~%412Xv@CGCAz3v^7>i0{VSDD>*A8Cov#q_I1thkY_i zG>fvwlpeLeGF*=kHt6`UYOQnosA(Z&Qx2$Yj~{;94CRU6Us=c#7cU7Ad_KnM-9#a} zR^MwL`4K78g>f#n9LuGWwwFc{a0XIm>XNpC`$wGLv9&C&v|qny3{G|nw&%AE9Ey|o&eiRfov~fNwl3=)m&k$I-d&svYg0Q5w<<(%z0;{ z0=#$I^cy?lS4w-RftNw7GmN$265PS$;v|HJ0k1Swe%UmHqTxETgh_Cj~q}VoN?ACe`6KX3Y9yr zYLj@viOdrTR?L=UG;#Do>HE=S#BVoJkqIR;_v=ZW7w{XI8^&va==5xtlrXB1(QMfo zJE%2TY_mpQF49DiuE;*Cq}@@XwR)dc0k*Wa)zkGUA%0^f&ZL^>^GKuSN91xUJROuq z`MH0*>o30YIg+XI%u0{eQPOe1XOa-F9caQC3JB33d5`a0M-=T-LQU;=&sUnAzAb+n zTulRxg>n~tECi(_hUuCuiffMjJej#cF8p{+avf7V$+0{dgp@jCIep8SZXQ*O8|Wm( z@~Q9)s|e(kra;O%Vq8U=9yP@P?~;9?v!kg-yIGHO%)M$N#~d9o%Lt?@mFEoj;Ppm- zAQ@T%w~BKg*9M&qZyF%d>CHM$Q#k7ownZAdhiNMF$*30GO0;yiRG~r_0 z91qBaoawrM&tz<5(8ea3#Ux9+cuAeP(>7W=fR#X!N?$6k2^Vpx9sF`f_>g~Rysc_z zeEFO7;9;h#`!sv_(T!(^#aI>F+KfnYQQ(KZ+}urx@Lh&X99qV}rEukdbL6N-2iaWR zRyHoB(7%{%_YkHzs2v92UCZm`b!#XdPC037_+im?03gYuLnm${#@!qJA&9xRLbN-9 z6Qf`4EN^s*w(;!tm)@La#ENl#&K9=)ACmm3-_eRYX#u}%~ZwyR`^5JIBMbeQjv zXsha5<)^EtGw`(v#e&s77V;(!P`rs;AHKcS6qFYM%~nJ^@UJ6%(Pz8h)8R~1nqTgv zu2(ji@^T?aMfx^&HOi5-qwut``}*o(rs0}4PR$Co!~1SKl*V*vr(B(!5!Epu*T-od z%eueCZX)zVq9M<>KeDd7bGNK8sJ9neJIgMq?=c9DG+fpXie7wLKVN18wy!}vvBbT; z@l`0k*=1v+S*m*L7Xk8k*Kr#TtMgZBq#D?7(Yo=^q7~y29}%R}7__L8l~6kT+w5aX zG@{c|$4Q?u*wkrHyQMo8FBI@#x#?y7H+$zzoJ+4&@#N7zHuDbWTOl(ggt{N9ZY{OS zXu*gyG+UI{=xKO#e*6^?14y(CE%}~(BPic{5~&cr0}#b!EPd-R2A6b3dZwE8R_#`4 zUE#zJSL^-w0$FcyVc<|AZfkJ5Cgs(fXJM)LglF}8D3+?-a%UGrCOVf!$lzZq{8e{> z_JDb8q;0tFaMPhAR7tyJJs)Aj?}N3b5^d<5zgu8WH-W)x`skdZbw=`>*F!!Z%^I1 zTZW$@Nb0jA6ERx<;ngEjjS>3O6ew_B&6X z^m%-Rpqg|#T6z8vYh+X6x@wCV+AvHU*gs`BP#zFQUHc585ciknsPQR>Ro22cus`SN zt%y8SH;%PihN#=UP!n^AVxgIR zN7g{yOMKhV>=*&0ngpM?8feCEfjnZu^Z62P@B%P|(}N9iTa~%pN10!XErR|4@msWV z=rYUSpQ8KD;q%>7!T7RnDRmgZe!w=s7ICdTv|dNh2LYDu6NW{J9E z?>#RDeIyJ0UR!`#79NsmFqs>mAt&CMwxm*6E^=He+ zQd&2|nXla(kM8~I-cY)F6MPd$yXtFAj=q+^URwmN%ZqPr&N~P2EPMVZ&mYw~m$ZbW z>2j-K7DR2lYbYNwcBV1oH+8;{p4O}vc-97`mvDRN)&~n_bB?ywFmnlp+)&=Mnof3X ztN3CSBbR99Cvq{vJShtkdt+y?c9Oj7&c%*ZG+9DwO`(ar!!EpMtXJpigSe!zl!y%0 zA9b=h&VnhGiFdKBmaWG$1tI=x)M{?>+FR)sz=j6iBAtTjLplRm#~kiHN#F`pIsw*& z%u@Sy46MUL#QEXF~e@{x^*nA1ZXZuiB<4?j)1EN zw0=9Cz_E5OSJE~|SJ7HrFi36*6R>Y`(P<_^{00C70j~}Z4hF+tW3RCYpFjo5)dv`Otf-%nU50; zk5n={{~-8@wzxN!_N0%6d)ItVDPd~Up9xsA$F5AXNzbhesBoeu{uFK*3j-e;DPi-C zbi0v5>}auhY9XhA)e);E+B~-r7IAHMj~yZ?KCq|W zF8A_{=Rqkm(a1?`-7hTMvj9LMnmI28B(mpA31kN4Yi!{eA4jid_mdZ(bygeleY`7%`vQvESLyqnAs<^Tt?JqjqWJ?fA8AxOcqN&M;DS zZ2@u%sL#AJMs*IZ%X2kY*XHayH!<-|$VrRD3yun4j+}v#Pv@G-N^1qX3^6u5=|c(9YQSRdq&TPZAi>!NMw>^(eZ-!Orp4)=zV=UQH$ckyTGAX>+C6&h}`f zqhZ6(Z=~oA`O=W?!(6$W5o*@L>4zmfXJT^&=h-ia(HsNaY5v0#ITfZF%UEWL=HetCdm6#C7N7!iw*6HjTmCGf60BP=}?CNaZ)eMAAUEtM#$`g3@*A ztfyF6OBKb4-jTx)OtR9O(AxD{pq0j1Q0JVZ^QPjA8B_1Mu^dE^43|cc@>BfS&&JW9 z2G%0fO_9DO$m_zI!`2)?WvkpK&VYLq+R81pdR0V}2}14#gdyqBUA6MQ{Yu&VW zLDlh{Y@)z-wnm}GwK$NhqcIbz1mk||0VXQa^k!?3l3KW={o)PDz=H)y7)gI02y+S? z*6BPB6Mh@9m3O-JFtFJ&BvHQvRI#klIEJ}+Ik?sZuB$70;*%Rs!Uq|cr$)9|5-N6j ziD!cL!l=2uBtfG}$D867?J0fW)@~Iy8!>9O-AF6Ka?>oJGpA&}@}ev2!49*>F0@qm zStOlcX>Qqz*GS;RR^@%Ef0kbZuC@p}VK;sWL`kmiJ}4e?`FNMbGjThuK@iMdi;CCo z+m-Iy5OP5JvM>l|!Cf_b0+)!MWx6LKWu`)v+|ojC=}!hoTPW)^sTSvuhWUy63p)Xj zvO29XD9-!h{o?X;;wVXI#T%a)ury*5<$_V&-+^<0niEr}ixS*RMLkfUy(}Y}2~|7|Y?#b;IvV?JgfMoG0>Cd?EoQHQ~`BApiHGf-!48Kj;18xp z-Vils%HM7Q86yK7Po<^#OU~5>?$wS~lW94v02fKKMn9A){VqcFYZ~Zj-WN1BI#mcF zq>y`lht%IuB6KWthJBkynp+H8BPZ-ajLuDrVL}D%C1bN|WK)@GV*K8MTF_sIXly3% z!uo?dzf%e;CuT~MG4p35)=d0(Q%4B& z==b0|-H!+Dapk??je%WuwZq?^rdxemXyB|}wKUAua67hRFI?3l=i{!z7z)S4aImTV zc|<>`bM4gjHzfjbRa^BBu2J^;L;TVwEpqVfIz$kK-U$*Mou!s=-XA9>xKvE)u1`is zKR}V0_9acUmzY^KA5F0Ex#agWf%pSmPD&ZZe|TNj;Kc1T=s4H3mVg4w>tQbKd{ZW?M36ubk6+vTU*e#LPBxnMF-ac7vjcD`s#vM3#hh>b75&f&39=S zy}Ci~q`2qfRpnTUtclZBwm)38Yx{k0*A3T{1*N5>$Ai*mCkXJTJ&vgFtdDD2t%pDA zE8I%6GUQh~4RjpL4fl*NDrO#$5y7<+8d$(t`ixZhT*Z$w zEUgY%DU>j}u`?~8*>LI{u*A=9A|EIKE!7%=qGLq$k00o*ISG}Zn`(N|`4|}NmH<%~bex5RFEKUs-0%LSQ!?%JbC=rg>KdsXfSb-eM_qms zBya$C+3380E(tL-#xbA3`niS^uVIe*VQcihp}OM<*0JhkCnvmwGv$QSsXi6`Z)tBT zd#WbBu0bE2!go7YUkRUlrJ_RMFsxBV(?zYfm0Hmq4ChmgO5Eq4DPtKC!>oJ~T)#f3 z=h6(JcED{4+Pxko# zy6BX{9Py95v;(Rr`C57UXl^^a&3cV8t90eQbv&I+6~25XeN_ZfrTIHoG0O+(C9IXr zX@v#&Pgo)Y6Q?^t8vD?cezX$uT>qG`dR>GMaa!Ao=*+D942Ei@f(i#-KU3USz3Iom zWElFJ`R+%i-beRckNf%2T0KFt-O1~0UfuL10$Be`jN7LtdQ^HFbRH1|6bsV`wLqMH$ zF(7%BlV7$*GQ&FuX)O*~jSk9x*8<4NJI(XE=`dj#CDv@3`LZaZ8v8ra=R1|yZZNajiJ^K|j*`#-fA4Z(^-L8xG1=MvRtY0#P4MPS7>$o3in&1`qW#hi!>z~{Nm0~O@mS8ZW&WuN~TYl$aL6l2Q#I!_Efj_O#SUpwL^m+3sa zG0=0_ehJ}&>jv^vA&X$=BltSN&Qth0iIwT0HS z#nhFKfWWHNXSinzeUpO0f&Q7@3N^Y2_?~{Zfhxw%X>()uQ{CRpmsgBC*v8D<8tD=X zF8TcsB|5v-O(c+2BFQD>vXF|-PttnyT)29MU|f3h{w@TnX5;}jRwxtxfj!3WtAr!A zjH#2>3E$t5J&2gc;kHFgV-Z1@7{ehoe(|D-8RmpK3!B(@>{>ZFni?6x9*{+T8m{-F z8~U}Ep3_CQ^6BRUf*Q=5_Hs2zo*8_6ralqnJ2#2X7RMO#aI3y@bZ5rxnPw1rMn?T~!sGVxI}2h(&!Q#86mgv-ibFW*RfLlz7=Ke%11Ec}|yWBw#*_g0aa7 zUP;Dcu8BZ_&kM%95R4l|m5;GQKGz;k%vEc|&6R2UpjJj~I=lUsrw$>elDwX$FBKHd zglw=5*`xv2u9+~c&)B#p%v(;fl^AoisldDRo4rr?P*W^AqKQ^W%ms356jJc9bR>uFW;FE!8|yh(j0zTWmCRe(>Upf|0wcLvZ{q9u`f??Sq{5+d`5Lb>Hnh7G1pSL1yfL5Xpa`2RAWQvM1p&@<5mbA`0@-g|bvu<&3Fn^#WVl2>XzR{3uRIg>{jIU~q_bxf^ z#~Mh`P!=R6W`sCaMTlZEm?h*d2XU8%sF1xg9$6zxdq?#Er&735IDaT{8QP>z@7UjC3Bz`biLc20hiXf;DtFKM8 zzvvkHfj&0)X>Y1GVlappAvZAXTYa@|osYdEBbl&SULY#3?;{!FFL8@8EqY@RE`_$P z65C8w4It$VduKxk*`d=1JJ810n;Y?T&U^CVM6^dyrxP7#DgcCZC+}VC@}{`=Z@Xv49Lq1W^_CQPahXI!o$R5_3A-vuj;QX0>2q| zv4HvTvC$;~W47rc+sU(_G$x&1=HS;;PACn(NG7Kh zw>Yw+m%E{8oJy9O|qMZ?uC2YzPLmFD*DCHbZ55^_sXU!sY*WdAJX z*HAy>66>IeL&)CzsB^H_y_K4S$ATkKCG3ut72^hWggqqRs%63e45NY^O@imoA<8Q1 zFV;JdLONa>^C}W6XC4^M^mfrFTJ>M*PW@nUuC0L^@h2X~%71bi7tfWmV7Io38NcsN zP*=yZWa|wQk<*jMy;ikhST8to8V0P)FxddUQpf=#;V6yBCdaDplL`NV$p6T=pgbYN z`5Z~OgE&O@mE;|G*YFj5FyS; z-WOErpFSLN<^Wo=Uw>kA`JhO>9xHRKUuoRHsY8%Tn0$ROcEQr|?IKoVlghAhl{w#t zZ-l&%$J^_d%R3Fj9OIs-f209_SuxdN-k@B?*qgdV13ue(wYBk>-_+sU$dP6B2W2C? zAGK8Xx~2ya)eyW%oh{bk{lzgl1ksj)wQXWH&TdO04_z$>H{dh|D7y*SK9_*MXtEnJ zL9-sMMX-OrV>i?-uA(&%EP4ZrQ@2DDL3M)k%l@LAc_9U*K+TkpJdmT-UnmiEGjbLC}9d!^1)KjCbaf>-H+dCJC)8ub+-A^Sv!B_a^z+b*WB2mWs2S@RI07~C+MtuPW9C|IyJ_m?R4){h zY-KPM5E><53CDr|Nb^v@?Jg!ETd06%c_-3@(G zh?Us%krW#uiH~M_YT0E2^3^lJ^j$+kwVsI>(TG{So?E(&)isrfcQBrSRx`ir6@0$swR?7_x^R#0vzXwR! zg0oee8R#2mUBn%wI|v}fgkl!r=Ers4^RZsX{C|Ueq9L<{C0J>J$yCHZ8QoCojOQ&- z668gCCuCb(X;KPSpVJ?Y-w=qXhP=q!RA9ow*D0OY44!KjB*|NX`{KCN4>ax^-saW! zhYJdZ!zfKt+U-L)b1S|PAGV^lP6vBwBuF3!4t9x!L)R1WvHTf!+6Cz?nRGNh{Wnmr zcLKD5;q0z2?U~o>9qNxGVAHF1OIIC15LEm9+6!m?aM6iFqBQ%{&F1*Yp~&MUrCFuq za?saS&=e78(1syxa2=SceSf{YmWiARq#Mc}(C&|Hx{$jela8`UU+4!RXR+&?o$u@{ z#M%1|5uf%0a+7^-ZlSjcst*6hrjxI$S4PjPcWu#C-5VNI5-87^rNGJcnpsYlLT<06 z*hY!++h^?UX&DTGL3?5ITT!n$IF+-^rfgnrNl<|K;_TZpfYT;nI$1L(veZ* zm&Y+NKhBz^#4^bB?JeHi7oD|sCkL+Iz}VFj6wJ&+I(@oN7{9tOx^?gdXu+`amj)dk z?{@%Wr9JIik`v@tk%!1vFb~Sue@LPgaGZy8&T2;UH={(HBBEE8u+%F;?yQG{$^BB8 zB+sRLz#VGFfZ7Pdp9UlCm!Qo?xKNtzV0D(E@5pZxRx=uja}7z#pr|qXUQt0XI0!D! zSN`)Gz?wk-MGV-SiCY4(CE3-uDE^pk&oBblA=J}cu}a>CB$7Z~2=YWt~=Fy1_m5 zh0uOyW&qnVgfB@sWnJn^DZg3A&fUM68m~BikimO@lUfFqEt}N8eL4s3=q)eT4mO4K zts4-){DJI8po)Ak?Q*jqolez(b;Lx}yEwz9gLg-ZY-)ye`Z*52g8rc2f9W-?1_KT_ z!KxQ7%=7>>r+e^**{U|;;vHP%&4b=b(ng0tXemuNh7g;Vgp^Bwdi)h8Z|}`PhYtkP z;Dvhe$KZ{%_eEvU2C#&E-B}n~r^`~vQaa{84{xMlvy?We89Kj?mJpu%>`-nCW}JQ? zxf!abfB$#(z%r_K3E|!e1mlOUU_*dJYr4G&-qv|Ka(+(wC2Z5onQd#VA$BH>f|4nsDq3c4c*745$m z0^?eIMDJan)oZxk>OtAi$_8-*El`Emdd+lWX6st-N^hVsA;sRo3wQ0V0a7RO?}L}c zZ|Pu+Smmu{2{y|RwS2^f!K-gico^)oS5=Z{(Uvq(21HR#bK^kxb_goj$NPZx*ClxG zg87i!mgI@=?J_ZCs8xGcP)Qr7P1*}TOYAkqNcL?U0+>cet@{&S3jv98raLT2X95l) zoUafN|O+7_Ol#&0z7t ziI3FnfYO*4_?-y_c>k-G7XhFz|AKYyPT*8-iy?>4u>>!Rhq1~n@^Y8pMku?I+>-~< za9l>1xSm0G-fp@%(`Rc}pb+?Sx|>rvbc^T-$ggnLEphn9O}a;_gfvB`PN#8<{4|GR zsd*7J3Kl&J+zxsVg>3}M7VxE*w^2YpiTO)odKOTE+amaF>;ge<`7;2x#H-F`_Ok&)}$CfICdXYO*-Sg zEKS|7B5w~gZpJ)F5~;yk66M7kr$4Pq24U8E3yurW64~MzD}Z9RYatNJf4el4>5Y4@ zeYiOqI}~xPs)@|@#NrS42f3<)n|1a+@veiwJy@8Y0hApHnj3L;mzU(T9g6gBvt3^k zPe!0A=iXilb+5^L#C6+dGKYgjDtNqrd12?bno-%lc+glJe;AJVEqjOX5;T)80iFBeWgC8oaxv64S?VSMZG1qRB zCg&6|PP6*J%?s!Y3Ur+5P2goI>TYHC?Z#oDUwg)(cVD#_ywUcA813!AWn;W8zYhOj z?7e4Hl*!gM3{9g1MS>&|0VPOQKoLoeO3p||f=UztksKOmj3_xv>Xsl$Km|cEDnSHo zq9maeBqx#F(DYk3&YbVeIWyv{XP$R`?^^z2q1;uq_r9(jYF8BlB%A#m$07c;+Pf_r zaCY)<{_30DlQC- zWv!A=Bs@|wbSei6XrZ?DgBGBp3XF|@U`pZdp>Mc@@-c%t*ddutAM{5#QM8Gghdusy z2^bR-bJGXNvM|rUC^i)aWPj7iWHEbNUH#l^Fa5qaj(V6-kU{X6EUOr!fyee6MqQi* z_ox^zdzwN%OcC;7S%0g}^zq&-t+8~e>-7?4?9){B;c7Fb$~<;6!iLB{^?AA%x>rYE z*l$E!oitiFJ&3CD*hiZ6AB;^Z=}Fn84LD7RFgv60 zV4R$64VzQXic|4XFl*zu&oin)0jHjO>On$*)M@ej?+RtVxAoQOzV-mtS>g78u0|)S zqtBlTyzT?tO4qK;W&%55f$qeqzEb)3FiWY=M5~jhVP{1KTm&p+l63xUYxRJf zzS{8Lsu37+>zIHpI%74+juENJ6YQXPH2ETF`!URZa6zcNiR=Zm)>zi|Bd-7X z=YL2QpDcmoUEPvQn)4WVs<)$8|Fo6@xWR%d=h5~Ztx%Of+mOB|JH}^n=a)5wKy{MP z(Njl55h~1ykvJI_ejc<^pkaCZ&`Y!!s7??QSHC=IZQ;A~Yc1+vW3G1T#1k^uWpH`{ zv?hQ8Xdv_20L5+M?CLD%F&c90*q+fZ{=xZG_s;}igH?M%{ffZ`|nOod}Hn4w~ zXIDepTQ(-_c||}g$b!dibcuOD9{N6-T2{~No_U}2TV3yy9K1EeoVf~p)AG`8NX9?@ zCR8ssS7pz^Lf@_v4_M*NGj@!u_(`kPM-^}_)<{4M_%s#^ljm~mq z_O*<*pnf4Y>*2!=U1IeItNG7qFG25uCD?f6)PU2V%g>*^SG39l%054OLJDO7a~nhk z#M>&$K;MiVq5|J^a$pbL@te>yU1eDwPvoF)KFxc7&T0z!CSPe?9eI4i`grBWrchXA z+rCZpj`5L7xmh=l)UX{t3T?=uJv{Q#ppTY330JhL03YpKJ|T^|H76&ZUwk<21$Fhj znke}N^vbixG}~9L{b=pJ&?(^QZ>KNdzFy z0E}gH4adN;15R+p|3}~3uBZiqIT7-68J3MfjjXA`Lju+b3;~^1@PIPZZFSuwi*W%o zWg_i-`99%Gym&k~f2+)8& zxg5LR^VDr-{?p3bZ%|tLt!>~QiUJ(t$!rT(#h3t<*~-3C!n_A2v}{<<;Pr6TeCk%v zbf-mZJJ*js2CbkD19tOw8-Ul)z)?889zlB|JpLSDcGX_t{_{ZPg%#gnj!z3vz3nN~ zlYKV-#o|uB2Q>%wNxf=sYaQbRODAO<(4~}sI!#it9#BZQ0_8M(H_YtQqQEd0dE7~M zGR$MAz$#zHeMD6X0mB@f>XSzC08_UV^QB(Zurf)>&IKy!ctMTC)^MMd37_udG8}vW zd6ORzC!!2&nN^z0S8^l*pH@dT_X3^-_6=Mb+lAmsRU4a+$L@I#i!9Zcr7~SZ_D_E6 zliA6LSio(s1kRSZHgiUB`2Wi2OmbZ`*gh8JuWj%<3}D{A^d06ke3sD<54~QV)DfKD ze)RlD^TbpFI~*)XP2Hyhyiky$mo;n|OnjOx2~{Tnkx|8WGBUOUWaL@uep2g4i-xFg z7r1FqzPCf#n;BI6D~wP0@jm$i#!$C_u}!?Fd)7-V0iT6%&Q6TpZM^r;s#|s#SF?S+6AfE^1%G~nNJTOc4Iq?|XHx_> z1&?;(lJt62n&9yb0es~fn>Ou%8ByZzmxs>mnLC4fDjG7&bZh!NII6qT*D=tO0Ot9L z1R7JI{kBmrZrPs@D>xFkg{17mKs|ruW zEju((*;ei}N)UnzF|UrmoCT_57l<#Qw}npc^Q)z< z{&LQdL*^5ei?UBUX|Ext9CtDeIEA|=@TiWA#W)2JrR}ZQq|wHJs0V2vHF8_%N!#G~ zIMsWssql&)8=p$;VO}?8JXhexX;06dY<9uK*`4@?6gG#LmquW~1BPnmdGXcs_F;9G zP(!ELs$KU4c$b(dSIYEzr6Vif-#mY)QmeJrtVCLIKnOHv2Qbe*wkL{@KG(#tqimjb zm(R)7>Rbz0aUabhTKa690FH`HVxe;x@``mzg+Eac6G2VVY+;bMb(fK?O1|l&I zzEkq~$0p~UYB&k^65GrtHdY6xMnnDw!HHD|Vt(RLd=py+DZXI18hg&nF^$3h)KFkY ztDQPK2FJ6q&}?rOk&E(Fu zbPFC&7cI~h0fcz zPRC(Lx*WC%^Fy?wB!r&f!>nitF%w6*2vX{beq zdrq}(OuzS!fA5(fb&pWxJ^!M{pEBjL5GpmJ$D$pi5FMX3XJ8(IF2#$jyCypWWk35} zp0(hEsdInAyN7%d1~<;{;B>$SFs4YujuQnAp{lLFD^S7ghIx=xx4gz2#GrY~h0?0T z`YGE*?v-kmRA&@0%$+9<7>vrM3QJ`GzM(w2@7PXbvQz=z@TnF? zQUOJ(Sy%c5Q6DIvF(YC^cTM09%(KgkQ6`*55I^;fGdJ~4n`dB6jt~yf7u6nXG&ZMb zrRpM7M{&1FfnY9G@WI}l@=VlA5Yg=05+wO|jzJ6}=D(e4whs#E@t&`|oOX?$Y-w_C zVL*}XM55!gV^eZqcxk}oYjpp`#Y)>&1`(Sexm&pN z6agQVsXn@#pQ^Hy(H>vrJXQ#X8+b82p^P~J6lr#ziVf=6{IOsgfe+_kP?Ll?fhhRO zS;iR@VjMoT%QvAZq=gjUIkG&*a8$@9Xe;h1_6Tq9bf7X$Iu<|AfyGI_^fspiGNt=R zWU4BKAaX3=qZm@0flNj3A`eNwvED+R6mZ!$&-LbXHfDBEjrH%u{F$z033C zmF)s`0{OKfk{}W2u8v&O6*V9h2qz=l0L%*uc!%$U?XH)@iN6FlY4X)|cxa(2Y9$}T zPeb{W8&C1YofzX7bi+U7D%@2;MyB5V%m`NSoiX_McTeCLi}odba#v@?pqFUAfs=gu z4SS@>r?!Tk&`+d*c>R4`>+PLl*1Au?`R^t&lC<%F&|1Wx#e%X#3FD0M@=37Cj*W=~~5z*?D(16EU!kGM<4Kw395XjBym4Dt1ycx?QW4y^ z6QoFC@phGU}fcI^B7GSafQkZ-OjJ#Ci{P|&U)LY&q_4U-8CD1OnsJ-Y-92DS z9AOI)q6@X6Y4h^7QXlRGBq&$9=GKxB61^*gLm((cU+qtaPf>ublMFkd9~&FUx}NNZ zBI<|EnlMJFt>zW0l2z}vz1=9%3fdw&zU7cb?|(W?{z_}~#}oL}6CFlD0U9!c@#laX zom5Xc>B2mKX@6)JhuWEb?5K>XfYoK`l<}hO0T;mddC!q!SGRTb(ufZc=pHIxq92TF zTy#n|7gsXr7@R`Ob!Z0#>^Wr~uK^9#eA4+Mh$%0i4dcXjEaA`^3qXTKmx-XLpuyVq za3QwMkM;v)+dT6KPG0XeU&Hi1QN5(yP2<^0Y}JNznAKG0Vjw}YWUQ?Vd<=yDQ}=f+ zzWrImxNRQBFSLRJ?z0e+?MEHM&^Y5Rxd5jRqN9u7WoodOuk zXm7>|3JC##UtO&j=xXyHOOq8Cy*Q^?FajLtP}5XRx&!iKi2m*vrjj6EZJjHrR_ZFc z-;;fA<3fq0(tt!idq$S@2N$EMjb-luT!$kmUIB@e_UZ+2d(Yl`oAQO+GW(8$!Yjbb zO}OXx`sOjfRCbvM+~LzP*cPhL1xZ9;a^gsGJCXW7z5}_)?IxJMGYpB zj>ln|z$Tr}v>*Jjm4Im=pc6tV{T~kNoCoy8i}?({&95WbRw>gvwQC|~v#?!`eU|BR z{AaTEd5pc^xs6K>;6m=ipnux_Lr9Q$uQb!Ex%&g!$EZ63`Q)Sz_uJXNU8!3GAn3(? z7D=s{n=eqedu{~F2Oz*7-YN1Xg@F_iGY?WkuQs5#8zQx@8FB>L8WAoPTQ8JL1{9O` zcAz=1*t;vmdAm=9BdFp1YkrQT_l1{CK$3^fpT4@2>_B-M)Y=~BpI_DoqRYPJAq4AW zq@74DEb60-CEpzK=hy|Dg!?rfFRLBU%Gu@vwD3Nmjr2AMT_iHENDHDG;c#EJ3<)}v zBKQ;&Psc+S;ITKLD&bBB`}?7QC*b}^HCcg28MJTsINOr3{c3P9solRO^)JQ#lGHDo z0)F`y`hM}$??v%nl<W8`)AO;yxn^eY-17|OMG+3B+A}t8vvU=s@7k28ledYM~L#j|gLnv8ur|b&_ z>acD5Kphr$0wiCB2CV^1Ib5!VKCe&!S)1Iam*cb`%O@1K_5d zpd1r?xEF?+13dv=MYd;$o{|tE^XF&&iLyTn^lXO4(Lsum|Dn)GkiUfXgG}hpEe$kn zbX}b`ULh(D9PW3?K+5)G`Fh{Zrq8El5YCn)D^(utp9ht`v2{2&5$n;iwp7@DGTDKx zzSc;olC$5Zy@q*Kbgz)-V&dgh`vE0&NA4ImyZ3J94gsY2T~9O>II}_F0Lo5wih1El zpk2fTN_fOTEypIfbPp;3YCtJU6TCcS_D&iLSTv6Qn|RY(CYndh-xwR z&RTUhT%yVkkZYxpxHP!2;s>Gz{{$U!=DkdM0>B z&lSpVs01F3+;SvM&^(2;_o^*R3cBs34G3!Wifz+h@zU?Bvzh?>be6d5RA4q}ayV@u zlFZY_M2Z@kG`M7=VNTN~&udY1_att)+hDoI!ANZAd%i>ZA z67af8~s^>{iT2Li4@4`uS zj4%vV-I-tiHqrMa!qQ^&qqnPZiKvpgS8Az+9_mP~u<^sZ;D$GIlq{ z=qL9z%?~#lUJf_E>RVgZaMOQC8tT9h%q82YoP!#o{)k!Sb4S2r>A?oF?s4{82sy|e zO^QNumC2U)K_%IqdT?)mr!qR6&o92z%T-3(>w&yWsBpG)Uv_0z0>^3uv)~wupL>;; z{Ukwsc-<)@YnjO}Gja=?krlK)Gje&s8ttF5VGsO~TMdVrl<#E6o@Qsjw#eNNvz&0NEj#Vo=&1*k#@Axoxs%eYKxJbI zs%&^^f(iuKO0|T64!?<13s%F%F0e_rA5L6jQGZ0Tp`9LA)Xa|HaAADUJI2AZ~quL9n^Ib z`DDA&^z%0TNv-+G@cH5h$C2j7_ALpqqk|8S8}|I4(zXaa_VR}=M--b|?AIRBnF{oj z!P$f_Op44+I;h<}ThCUQcLbl~F*CE8#}e2!XU%ucXVH*~8V!@k8ER1G7$%>WJCDhNbY1vIm?6tE*fu~_ox zvh1m}g!xBujS~44na4I#)lw}FU@fKRK9S=GCFIn-Zq{0s@SPiY*tM#B#PNNA#MHvG zwNGy-SFc}R!ev9VM^43`!TTlPvuTMIi;sO4y@@^TA0Di4Carnp+t;G6MNoUJ`Z>~e z>JNfug8s16ecM+`{m_oSdXbX);&#Ec4A{vk67EdD4b5X7~YI#o@Y1nC5kU>(v2WA+nVN`U_#~_T)@lx zev!+MSoat=DEo04446oZ0yncxf0_i91>rXhzb$l}sD&uUo>bC4p0TucF_>7xtcx$FpNn(mS-%I}U}EAXDrYNxqh`V!7S%>kD@u!-Ql%3G`WG{*%OyMuNeH|P>pVvm<0)DB4Mn22y_LS-D$kz1#{e(YEPwnM5)u{ z;zs?Vi`I)WSHKxz8JXHeAjMnBOQG4mMej$`-UVLxmA@+{ZEXoPu_$|3z_BskqI&`SZ{#)-1rcaDY0>_b ztbn5M@gf$tus-LehM4jA#!xm)phc)use}kYx2{mUXr7fAkiXQ29bTtlm~;F%7vKUC z-=6=>YwII3Ki4=S3xYCt}-doy?r4=Tjs$St71&cHKqWAgu-&h2El(q1; z3x2*OiMC3U>>Y=O6OBI!QP`vi_Ran9oDg>sGCiL+cAt{JYDoF6jkk2a?Zx z;};6lC^@S(&v6Lb$w96$@_F^-UIVvMQ(zYPEtlPXB;RdZ)*S?PQNsj34K+19ywoRv zTG>tnn1GP;NbzM&y@4W5vmM@Pb4q`j z<^Z%ypL;>#oRy{Axc12M>=?^6MAd3x$A(eUqHu=!sj&yn$KAp`)Cf&`+p@XPp({BZ zy|0JY*=#S^uA(1zn1Abh@Mz(yU-IodDKlZfaNVO%rFM>^X&@j{|0xi=NeG0?GY&`0 z{1mGWRhw;|sgyr0C*z*^+I)C$>enpY(Do6uqs(nCM%98YKoC<{HPu09N=Qm z6*^f0C{HT^JGSGVIw!QJZsK`o4!kI*XC!EcgU9Pbw!QgX>?Qg0N&{IX)$UCz`#p(` zEM_$u8nw%6NbQL6%7{?*YBIv;!a7rXA8UAG z>rzrucI%LI(;d5Wf@r>FG&iEwc}bge>zy5wnq#e)>3RhHN<_~*+sKSTmkLL1Gwq?7zgm_+a2wvJvR_v!4C=t2k%~>s3a0fR~ zV*PHw6cBiUa9G$*aXKk%5rl*rSD@SNg7mg;m+3#k*(P0W`ZzRq8a~na9$c8&;CA&m z`R9m;l>&Qar2)=C`*<7qDxQoZrvt-(K7u_R# z!SB5QgWOxy+9ibaSq7(q2dhP?m#f(t{EXf|weX$JZI6%ekFUAIcTU|7-`;9h77^HK z(&1a|m?h<6d_dYENN%uQey}gFut|CN9ddmAac&u5C@ES(+YYd&=Og`-YJVAN{T>mt zLMs&b^A+xzI8VEk+MZ@|IeF4~nV%(KS;kF9bV%Ma4clSfCmK>}QR2RPt=;i8uHG-= z{z{hpsMUt-$TBPS%=*{0nY)zOrTY`ahxYsu@*9Z~2y`P-zCU%`c;n*2P%guqLkHl_ zn0u2rAIP1GJ|z1?TvbQ3o0q8*;?FyIfu3i4PsIzB*p$U+1s*=qW>|DF{)h>pSxP-# zNQJ)nv2|>UxO#MJH1`prl=y(SZ1t*E_Eh22R9RYfTKTGH!|Kvf?$lNV6^u5B1kOo9 zN`WBx_a6(61O?T2@Mgc(<=j1!)JdELq~#HTT!;?kxFy@6Gc;OBgMIjY^wcgW5ksE9i#ubW<&hGw#e zhC8ZUP#u2yv|bUuQ7P0YD4O+-euzjfe=M(&s-|jiX z8F7~?k~S#lGK?bjAY0HBSDOZm!Z*KofP%k2KFGo>SFmngSl7s(LrkSuKI(FUivGj( zPN@n>jgCA{RpV}9Cx$S3ojJ~yE}~-@h@YKHmxSSh^p5T-ED8_-o1`f z-83F{&cHJ=nw>+)hgk=h4;w!%&Ba*s8M1@9CmkF0QwDSQ;(e&_C$s#coPYlhnY0K5 zmLG=NMTfw2ab9lMr&v2nqEIAX`ld75gl9p9K0EqUc|De=Ms&e7BtmtQSNmc}$@!L5 zMV`F1z)K-$Rez&lH+qiP#L^^WRGtT;uldUWt&o_|sTRR+tLTg=QN1xMAB&h90z(nO z&-6VFc5mVGcs16C=&bywQdR9~|HK4-pV}WM%nzq+bGwJYD8Olto_J#{!3guDXyt2o z%)j6r!oeie5s&fG%e6G(sx=)xLOyWjBt@5km22*oG-JhmE#u@yq^$1<-eQ!Ukthp z50ozjC#L9*_;W-Z6)9ZJ@R%M2JW0taQDSXHH|&GK#3I$?eJbCPS3ZQt7o|d8C+~_} zDZN*>)-fQ-tu#Kv{LRNV%Rd17lY@71CdYl>WRT0GaxY5?EK_sF#Pb_s%J9{ zCPtjzAI`)IOw978L`+>?UVoG#JZnGB((#ViP;vT^|B%vf2z}E%LQRl=zzZdA6C&=) zReA#jj43QsJAYJt@-IgGZ#wGb3kn*NJFj*VVFQfL;C6g4R~sCtJ!%1(!yye7H1C8a z!+S^B$8xxv;+f`79~CMp^Xn6M!i8N$LOQu=FC4-3JZ4qzCz`!`_wY1@zIM=p!*XaY z>CbAPv=SKoz^JMNZB8r+EK6-c?-bmh@0iAcUI#|JccnDtWF@6ejld_u7;GG}_jI*DZbw`&{A*%`mGPUo0ktUop zCK@A$n5R3#PobJeTQ=AuWkhNxTe!-kUfl{BqVvx4fY?xarEAZBI+?$k2jV!4V&cB* z5oUM_EduieE**EKP7)|w!Nl-kS-Z0#x=bNTEbs8Kb5s_l!+YrEBiCZ?a4brv~V9dMZKK6AaYL*{Z_FsS{s!jGq%Luw(;Sw%F2}0VOb} z0sH0n)dvd>E!rd%`+oN0oZ#boB@Sphivk(WK9`lfg9xs>wr;U3u=H3C>#!TO39M_} zPAU90V1@o`WF7wh;fw!LOw^Q|7?+3blh@rSId!fS&e}`Cg&0_PM-8f}Wb?6RCl53{ z2MfX*CO*(=1YA4n|KHg9Bf0-;B#Z-?ljOTgC1u?Ja?krW-4DT3VXsH;DJ)H#AL=ET^$i=NZ38(@5evAZM&_)VJqu}L_Fi$8cej34B6XaYaqb|*4GLh?kx%Uf z;8Q%uH1Y&1A*1eb6u&|p1C09EtXk17uDZ3@PO_h+anvI^1Og{~-eH^xv%dVebcM^wTk&7aOxv$o_@2{}$~ZU9&_OSnMMc@S0Q-;3mUl^!u}%)TqzV zN9Q5>K;IH_;EaU+%hePWMp__gQr4KlpVgx283>AdG$mhi1|j(ONOw?E=&M*I#-@5f zcqwY*+&_34T9)1Q)2(ZHpsjh1U7)u$htN{2$HNYP2Bd)wW5|Uau3MAf zyxhU~v!tgET%t?J!%15ffW%uDm3>K3_bB(od@J5oA|u<4VKZg0tZ5CcJFMvIH2<2^ zPIUce7A*t6tv+00Fkym}+o#)chLa6YdEcoh0ZtIYkcsh{qkht)OKt)U#j_+i-&O#e z$KsjEOq+wMJC=LIX& zf`0S`vS`bj+&=%PMRx&c1>C zrXm%JU6a@?6#dhw$tQBsdM=AZloA4hhZ=xK-T^=w`JYXdb6u*ws%W1OZxyp-@7CQ7 z93o1I0l*&XAF92$aQMVedPox390&-!T*ypGP^|#;HcE=GsGxj|L_l$Snn7Mr1SL*6 z(&=BNvUew-|IV*Leqfq|13^p(PH`~t2f9&DVBj}`L26Ok?EHxxpnYBA)tUr{sNT=I zT+UBvBrxCAlNfT^HyQxzw))(UgAm^~2W&>N1aod3{w?uZra$#LU3$0v-5@!Rz{c!# z@2CSm>1aquSHWT{%SeTT5VpYeie((WNDh8uL=yM?<8@MC+M^nEYb79L_~~iu$b-LH z7SC3q*bl`{$4-lxa)PW2cJAJHjLZXhi`vmQW{<8#vHk2+QFx%YBDB=p=n1wz9^nZV@ANTLpJ7ZU2E zff8FD++1*w3ixvSCkYyX2>^dJZKoARdlXXJMR)5G1PN(1`Cag+4CI-HfV;AM&mF4W zvT#K5r|otbSi7aX+m)M$i$GOW8Ame6!7##zOp0x9_Z8xz>%15JO~X0Le?BEggTKN* zIDZ6A1;QA87Is!)P&{%WJ*>Fx>$bs=0D)XO!a@sRBvi<`XDLFiCIaFrGpyL+?|5|k7)gu9lk`$7y=c9Zi zgB-CWTZs&C7;VmdlC2ja9bM_Ua8m;e#ATLHqfjRbli^%w zIaKn<6t>oLWnJ$yoU=`vg!s0P1T_c$+LXdh8-y?iKX^nvN)rHU%g3la0Kejct*O7i zF9t2-p|S-<7sS8s>T;b)fU8KM-6jg!!;nvV{PsIha9YD!InI=dAa4Yj!9sB#zh!%t z0cAR`pO=Q8;SCDh+gGvl?j-5vQ>XOU-J}%fps}gB=;|zQTWjKBKpUS#3D1-}&29wM zOfqE>3JwER8jP(J7HeFq5~ti7yzMin;fYoWH{Sdj@t0J8S0cQS9)0 zFTgKW|K+)UdFNj-&#$=dSM2&LKL3^V{L1WpWv?Wp|9cs_d(T#_TK9Bgp1i5t{Ai)n zYz`|*PkLs?QS`y5wJWUsP$&X#22qD=L<96&|2K5?}z{v#Jq@e@w zCCxHS<;oF^SKT30G}(lHpK=f-4IkNd(fOuI5T&P7zS#GQImH8##XFG&>Nw6%@gyUX zWt+K!!law|W?Nk}pcM4U@+_#46l;uAO4tuBUUJgK0czF^T(LPe;6gC(3`XYJqN| z+H%lM9`)^M0$gpW&_B>5P)8f!Rr3M$Bv7eqEvKair8|`mnWD5`K{2mG)K8-N z1ZY@rR`8ltM$8Av!gzMKk)W`2_8Bh{6=Vry9MG3BS)hQxxl>m- z%ENqj&(Ix}3et*0!V^DT6Z^e*2Wm|JR=hhFNC85ey)b9WV*zf!a0cn?YPb8ffTFif zKoS@LwXD(*y+d$LT2L=^6S)Z%%Nl)Rk{Z4VWW3aJNYiC6XcsVRGvA1LMYioLHR=oK0ap6ibY8Y1{HpSvOeDww|QUfpn&UJU7PA7ftGRJMfIZB<6X`oipFs=^nr(61wwEM zYiadmO3x<=DNf&b)>%3t8I_l(rPnuZ)=P5-n3SR@V&Bqp2eJa}ZP%C~_^qiyfN%M2 zi|n5RyqC+%TbY!8Y8T9h;pRyhucljg4vFYC^!mJ_kM)#Ig=$nnl*Cwc>i0h8;&Isoa2&{eEo7Nu9r6Zsg?tc>K>?vsG`@VB0xy>}c z?cDuyOj9jQttDW)UA3CSF#PeX zRwVbFsO#f{v%VS~&O!^#u`-3^OGfG&Tf(kMBD4PH0mO&rkWv^Ou=Pg4_CN@*)@4fW zhHXFx89_y3@!kKb#{WZr_LXExG0dwrNvEp0e{+PpbMA(5t{eS!wUgUIQ1{j}TK%Aau2CdTb$FFw zQZjZv!NY!Q|F;2idOPid8#*;;E?vc$BisReu6NHdzIEZ#HL8!eV>jCJie7$F=gwBF zGC)*c1O4-N3ttQT%XNlF=?zMX_B={>QC086^FB$XNP{V1%|78|`Tn+i&4kG|d2L^Vnkq|VJF#HH{NiC>nq^Wz%c)xpM{~3{81RV>wZNT_Yn7 zp*=A-%J}GWj&6wpvx~&;Qwbed=3Ot$Zw*Y<+v>f3!6@5OD$1E|eQTb^rHCmJ0lL_gBZH~&D*qr z(cMNJ^FNI`ysz+!0(~#v$7<#U{sAF_h8ML<=QzH-&U?xsnt)~Ft|?;P*m~%ncK%XX z+-%Hf{u=^+NK6CGrlwp`C+T@ZQbKt2YUa7E?k^jAS=%GHCg&n^L|M;$7G6JgZa5)C z>;m-!N6boV@>9!O5EWcc95KOS~fGwHXl2|N|>P+T8%leoyB z>i4YPjz{juf?P;;_*?l$n*_uqe~*pJUpCUD-u7fFt9+D>9~WGg$*uoFwQfSb)T3^} z*sA=QYyFAU`;!Fy4x((79r=2I*|1~}3}2lot2{nqR``V?_WI`oLJM8jb-ct_4(k%L zZ>x7Pn^N4M1wr2A_m+zPtsrl@7(?~ZLvfArvcViT+Mp`=L{4=@h(B}8w~v&&39fijDkgBg?$dGe=$2#!*^@TB*{CK z8*d`?uyBmSvd>~)Ia6B%pVQi5b-R0y6fpX+AA|gho69P>wH_Ru<@km)3c;G?^36!5u-m~&sT#%#c}S6pzka%$cy~kik~iZ; zQ+{{q5H=P4g(?xw55k+;G!w&?2`W`8!@CV6a!@vSTNhbNcge3uCyHeTA6Bmf$rrLq zF`mJkfQ#WrxcA`-dv5gQhi&yqZgh=lFC-L{ySM97bZKEQwU4V)^?Ua~ZA-3N|fF?T0^iwEKLwyrm;U(5m8(7rCcap+XWHEvrq{O>sS7E z@IZ^?898jq(Wa~*3zxOM{B1=SOlXgezUmfU5W9|WY4xOUb38PtXeAiJY?dpGfsDdh zqvHF~3XsfLB}lXWRNNqj?>P*6^72Ww$ZiiWr!Y&?Vd;?AjQinEg1SaYST?!%Jx!`I zdg+CFxUo;k)s76CS*+}VDd02>;v#|OrJF%yqBGP=-vRM(J+ zl<*;X9Q)MYH^U)4*r{Lh8#`OeM!bKTh;F<(DWSL{zK?oE*bRhB4|Mm&Uo(;N&Zu|Y zt(qx$B_bh5)Y;!L>jMeZ#bAaYx=Gk}ZXT)xLiO^P;Ge=sM&NT{lKYWzd>rms-sTT# zG>o;xrm4PFR5aeH00BUnH7FFokjccAj(+IhIZ>nDd4J5!cu$~BMSBO{d>ZK zXlZhZp(6P3Od;Ewd8Xc0RM);AoUlnZQTcZ3}uE zt!#u3-%ZFSp7Ra($mLYIy_&WlmT{xvf7T)Oe4sbBvr76A&NAaZ@!P#-NqIahI8O84TUO>XmZ_ z#dz6iE!p3Kul@wIy! zzV<7+Lc=!GSB3?Sy`c{f3@Q0&9ayXPdoO@D=pTpl zJS3p2sO)2@;lT7zq`)Sa;U??k_eNuG3Vn%}&U@|POGC+~mU&w@>e+#?ODe=TA6mdl zU0x^_1Zv4msMR+oeCYITB8&dcwpD!JktEe@-mpvHQ)lf{w-GFBDg-MOOEC-JpGt1nQm+rodfAxfFrZf1xkH^BZFYjM5zj49C`k5Wb-A@$` zZ{>4Oi3}`!P4aIakE{qXF$?|<64u2321!`pA$zXB)Q7cSxn+v&%it!uHfFfdv4MhU zmv!Tzz&GRQv7_oP+>=(kR06{Wy5;w#3@#MqAFnqGmp84MkIV4a%p3kRc*)1z(YxSC zxtcB$X*E+uzHbq&pmxK01>>c(Cy%&4BpWO|0aHxAvhelny4c}` z2rZ^(G3k_^j%!?))&8#2`=l+0moiLq2RV1! z+vNUD2{dM9i=G9XW!=dyZsJod#$KDpLMwP|p1izvM@_b!; z`S>o}0R#FQ*d%v96_7Q=gY`8#@m0!Qv#=A2J7j$j|y~jZ|%cuKDs})~J-J5cM zt=VvJ)rMR=ObXmAK#^MwG4UOR&5!{`Z>6iO1?_VmtHu3oh z*7R>WB^7vE#Q!07L>Km~jkkx*#~`mP|5xaUti-WAPuOU1h!^xZxE%r%Pcz*tQcvNI zpQl-WEJ!wWh3dvFpHYn5K~}+ddpGbaDy54T*S{8>fm|FiO{-&?% zn%|wr%4;D3BX9$e&bo|~rTD6TBQ+ij6{zvFR+ zluIAqeBX)+V92o#p7qKWE~Nn)OI*1RDbXQ{-bt3Tz5IC%?L)ysQ=77fl-?w)b59kz zJ3Ku3l63Ko#QAFnVYElp>N?iml}#59xB10XOsH&b6>Swt`#K9OOdf0U6|g3(JO2?`6#!Yvk~P{Zx!oW*4VmUrQ`$h!M;Kl2kZheYB<`vZ}P*gfD=aT_Co zADcQkG4rsBm-6F`ZH`7B-O2=(9FDMkitfaYzPu(a+$turau_{O~H~Rf?9p4my&)&n#SGH!YP<+Y#%F@HX5Wj z<&?$;YS%rHX~u{NWXA(~#8TR9DShpmy?I`%4f))>%o;wL0iEW$%Qc4QZ$R{Sa#%w6AN`!Y-9`pn9^$6Pu?>-{DF5KPON7cF2j*l>IsT~i<>{bT6XUt^JgOlty4 zx^HqCD2e{f!G=&S2bY+f!$aQQW;GK`RTY=2a&-KWA+^ygxL}>KnQnt=V&B6d z)zPv$JT$5YJ7?hr7eKKvA~MHp<;nrVc#jj3Pdk$s;ooC0yzG)4397*BL|)f|a|*r; z>PBs~8tF8dL_ycnAua0qbejj+JM!NU<3*f0(Bla?`C>d+C-d@Qi4g7ZJZ18B{8tsl z&GtO4D`d!!iyw^FDJFKK2HVd5AI{$UpX&Jk9}bm}h)^<0NmgXs1`bDVL#)cf=OUf=8c`CixUcKrkA{P22=`+7c~z)dt$ zh87zuw<7DsH4k7zSqxVa?9m;ie*S3-{&ozk|8x-MGbr(E=%?+qu+TXtSzwd3$^i(% z0qRXD7~HL(AT(U}VZa8Yv*G*q`-NnNR*{q6W+)d6LGR$eReu~~-!*z)z=AY?pVq3q zozou=>WaEcJ;bhk81mnIy6TTxZoe-E+xl6z+&%3%S>Z#cuV?^j?}Go3T`mqb>N{kY ziYt}i2)PeBtg~KkW(m^B{JXSl;2HnR_ye7dzk%?Rc7^Iwec*XZelje;HN?m-5Nu2< z0CdnRH@FO7yLJI~4J9|K*8{H#k`L?o@G8=12hZS_KdalkE_RpjKd{HjCRkH+3@|V!7)3R4Y-6SO=cS@PfpDcRQy>Mi#6S zNBh{)KnZFwnj&~5rM6Oamisox0Ef>UlgZUmvOljkQmkD$_nUlEqElQ|8yxQb?HZ+* z!sJZaPmYN0mZe81@c-`#t>QcrEK2WGHv%Jd~+;goRJdXRVR12DOlwA z3||Z;FpvzoVexJY(GS7(+so*T!D`)?pnLV;RqU$sa*~8eU24QzI4y*^&!|aYDy2 z&nAEtaqj(pvFSWitob8_brKYQnUU_KJH_HIu|Zi* zL^6p=2RNVz>|CH0E?xz8$m}ih)$~mzCFnA=o%_n??_PYfnuLJJ6HPp*R8q1FiRo=P z?LK+rd2ECvM?c0#wiVqi{=Oq0=@wnQN61_q%L2CNY@6(a@fdAQ_g5JUlzYpI>b)Mj z#v*1C=<%=Ov%N#9sH0>`23WLbBh5Q_PGdXK+`>;EyCcNBQ@ET9?1|BovcuJqdlz%I z3-S9LbO@fT0V zmsgAPn&z%?2R`l2vqX#Di3~aum8)dI24!>j5y+u9x6bf4k>CX=*L9{A5Fcts3)dbciJC$>OdmdX3Dh) zS*Z>nsxgM|o8cM1Ox146a;GB_!4oO14ezJUQ}%Hd%U9fICwIAb2FXW{unrY==4_Z4 zaE|hlB=b%wo#SEqBa2x{>iW}lbLOq@mG^-UNa#R}I|Oe6!UW&#Gh7!y7z^P>9^`bp zq1X-b;9C!76r;n2EJ`~QQf*wbQ*s6xe2~etbYn=x`Vyc2-viAOidOhL(5eMEfPr?^ zwma{zBZk@&a_hOV)&Z4HJ+mUa7+)DYj`5m98o&@D@DzP^wEqdFWkZgI|JXx9G z0{1<}pSz4?yyVmZKVPC?II{X2om+w``Jlupr_G1Te@-xZ9tM{iN`02ERP^vEwb*aq zim{S$^oB|#>Si^rfr#L^|J?Ybcq!Z^%r#}O<$NJqD~YfNS*t6|5f(xmW(J)0OZFji z2os+kuBF<(p}HqFs-u{21GhZc7suJ}2tR2ow?Nm-gRMCN7c9|uQQh=dMOKFn)*xq5 zR9iwi=j9uYwrMUpqN@g@i4@wvsmzz>!VsCkKW|`__qZl$1nUz`z#FT#6K_2mKHngo z>2y73Q>%kdZz8Mq=ewZ0!&3}Cl4UUdp)IW%T;3j$0ll4il=^idt3CUv!!2^00P|%b z?Ig(=gK`gR@-(=8ym`A+_Se4+jL@}R;|ZCL7^l@a!;UFiUWJA(x=iG<2JRf@_iV~? z?w>>)Son!KnC`cST$RK-jSl2~oQi_{xFpa%u5v3M^5XzkLfAgkt5uLSxE(Af#|2u8 zCgci@(G}7E_R>3!wO-xAgOHdnl%Q>k286b#0D4wT#Wi+07RVVLd;XR5xc`mV{{I@W zo)d42y_SVafJ@B`8O{J>y20v^%ph9sjEnUgpvnycldYb!oi}IvuAIA4ALaAgO;jJtAc7TK()U z6l*oY7nvYgGBVUshV;dQq}EBk4_UJFGkg!j#m8s=u=)ISq}+)RH+s<|hyLn#A*fY3 zBF9-`3f%DfV_7|3XzjyWh|^q94ceAZ(ahBZwkdaPOgEM`mT_K17IKNWM zpQh0aO^N!t*0vlnCD?8lP5P=4E)7P16;cr2*!N5?1V0>M`(^Tw{TI=_)j~Iwvwy^4 zV)a?zj5~HHq(22)pj+fs4SJ9=Wi{<@pI%9`&%0S=ssPGfZr+= z>UnTOv@PVo1mwES-0_*>EJTotI#gSry?d{{54A);#PV)>-`Q}^9e72}hw?3khSRwB zr23*&c$3gF)wgBL4@p)`+2LoNEW2@YUCF{OmN|a{Q{{|G{f+siTpNcpwU$?2+I9;5 zpb3k0S|^wCBI%`fUV2f*P9Y;jx6d9E5KqZ~%=;Av98^REO_92JIMYy_IZaPxCa)dn zp|<7GxrQO|m^7<`+eN`Q1ezo6%u7y~dwj0jE1T{Y)s=TWJWT`j(`Kb@L0)(vIlI@YWP5ZNjYRu?BNsca{Y4S6sB zDwx~lc1}FYM^Lw0{Uf{4H$ulzwTmFpY-NnGb>%yR7LB__peKoRBNUk!|g>^cX6w)GnT@$EQ=eui_`<~fp}y-P>OyZebX zt}++#bju`ZVoPoiT?^LKZ z6XVMLyr$dL+a7nm&=sRAPy8_H2i@soj4ciqg1m4a=2lA$`IzQ&?A%av`XE1)+ zkt>^1U>lb~(H|4Eo>xRzEP=ws>@xjT2emGY4(4W5{hT|Ey!rg0j1c_At3Ac1kf9pg!~U{f?#%%U zK7(w_JZfA`(sx;h_P4)WfZGu7g~Yk&1AL3RL|h? zC4+!gZ8PkfHq6Uq6Rg6YX~#==k*Q>Lu*v}k+4o2Sh>>UqR5!4B1t+I;D?d!y5?n12t(Z^o~>cr+(#d$hW?7ZgzY zDHN^~VseU<$@+%M5SlyeOvj)~W;UI<8)`12ZuH=;=zsKtu_( zpJsFYsLwTnAw~DqxMD|NvofLMvf23pD>ock1pkmIwZq1_Erp&HIUGUT0z%gta2-I$ zWi$S;oc`Vgcpic0{7FQeVjp4An=_S1O&OAAq&7CORr>!@jfPa~)v@)fJsj)T3_($#KZ+ke2o0 zqk7suFK^e$95?#S*e#kL4W?!61$W@x*Gxu)R}?G( zYn<1CT#gJ7%K^(iotDT^Wt!%B#7>U$&+5J@fD>sFGV}7pjSd&P)o#!LHxkyLrh&6x z#_itO@AYtXhK&oOn}P{MuV2zgiHW&_D6=&hS(iq5-NqhdD|MqdgHzaR;73aI_LotL zlm^bA*{9;wj#27#57u~|^Q*%SVUrZF8x*i0B51FF6Yia*{EcUh*cS;yz6toKaa*~p7GOFTxr8j z8;BgQ2an}JHBf)ptUMRIsB0|uv8De&dCyK<5c3N0;U-bM^Ts;}`z8KC0^+`17Lkl3 zGh`Xi$s-Av^%B5oZ7 z6i{!WzuEt1!z*1H?A`CgELWjnqaJGwYTs9T6M(TubM$X4y8hqC;u=x-Bm#|Xt@{0s zy@H^X|G?fi0Zsty-MHVboU2LBM4edd{L9V8m^XI`LLN20)L8`Cv(0r7M%|>gst(dlEC9V>b<;!b~q8^Xbt zG}~-@(JEhZA*5z{d@Y^-!Dg?1VQ5JGhMh={ycXu5SE#Qr2kh)U26eS+iM%H#j|1cTt#9R{o98F}RkhkRf6uF+#wrMh(zllsKfl{-Js$(?2o zqb$aAt?_DWsZ-zslm>g{Hum&UzppGETwh;gc}~{FwTxH-XB@q0o3+fdRzJ&nCE}$dJ}AkJbFH~le;7oqK4R-PD5qY zcw2&2C32yfSdV7dxT2kFSAQ=+KAlc#915rx18xwnLo$7{d16K9`?X46;La#$?LP`y z`Ck;2Mfz;6mLkbcBBHSEu61W=26cnr#sQpM?3pJ06U;`|Xp?)5GK`PP;Qq7XpOf$; zi|SCz(~>tyckb@St-~H5f>YZb-QE27Dw_KCQ}^PFWn7<UL&V#NXXm#C zeKvsn;PsDKhPDQ1`GqD)Y$>Y=DfvkMY!+` zSMm56JvB-Z3d*kp^Q%B@cY1T9qsM>qzJ^Gh#zR&ZOcW3Ig8GGwK=sgbvxXZ$`AFRfET0J0Mnlb zVa}6F87T-@$#n{`^j{S}S&g|oVE#^zrqDZnQ*mHOe;tZwDgME{6Gh0=Sp<2dvXD&i zL{6g5LS#6k9~GN&+W|ncM@NzY^1&ME3fd8CbSd*o6i0Ncr>}3+n}|j3im=RYXw|Tq z!tJeSdyxNXTjGD#cIR1bKPoYwI;-u-f3=PN-)b8@;Yoa$)&O8wC)IzbLH+TgGy767 z>I}xdd-9zAd%BVu`M2VX9W$)YrSGIQfu!%c$A;!(sb_QB@lPkG zN3JyXdaz=iqI2zr#9D5v?f@(=pvL9%1fiXIEw*#Rzt{_?PoT*B#O!>mJB59$f$N}6i`Q?UXI)DnY__{)}nhIq3V6zvv$q{VG z)G|l-g0p`5pyH_;s0`LnpXt7$y!JJpG?YS$%hwU5#JlpKcO>Tz@dbJNl-U6_ZV|;* zYa7&?y$^)l?eY~tc)!X%&yr8cW2^X;m}ysfvPR&!C95MJ2@#D<_kmn%28&_WdHEVq zNFyebEC51^uPx;lRi}I`S6mr^2STosIJ$4TMq=J*9!G_^!KC;-*Ws~i2XG8_+txz!Co1N z$#-0@mvTAY-{9fQ$PtucEBxz|KKH2n#WR<>p%FPLd)i*JQyK&HGOSo(2k z!DRvUm?7XeU<1zjtXvDs**=KzyY zK)AsRz*&l;r=3dq*imS#_06e`Z(ML@Vvx$P)70a=0}ojv+)zYs>0QN7zm&nw)lx0? z#<{*!zT~}^Sg=wa+xbK3=8N&L&6}=vG=+kUrQR7iu0ol&_Im?faT}8jHdGGb6fIih zaB-U$u+wzuLfsx)Yh* z-4)M}pM*813^O;_FKAZ%M|^dS{Ob<(lhY#Rp%j{NbBEjLnNi)tZ&^0u(m}qfo7Y_H z7FjD>nYRwvadRhdyRrnPEr^^M$yIKaZ`QNbkh0WY@vze?6*4o4m^N~>=5EfanSXse zV>LSL%N2#}=g);EfRYt($sK={sY=%giQrGDw+8`VsM8erY&v;XpuHz)e5KIhykLM( z0bZt#)-ouX*OLU*A%#NN{q>OSIV<>-eXf9iB%feKk|=02&`GY zHah2}&nsHw{TxMfVEVmk_8I=C!54_te0Y|fvKWi%bU@0SiB`w4b^w+782pz?X(gOrk}gru>2WC})f!nxS%P6PK+@bRV-} zNuA#oc}C;2@&uVCJ~92(+6qp+uE>Uko4k$*YuKJUh9dhvDPFI2w1w&4zO;UHd;Ltb z@iyzd-zR~b;bL{{lNH74nhttZJyC*|PzuU*{$4}mZ0618EhMo>9$vVjV)xgodE1bO zDvJ5MWH!3PKTp?Bb>#Vk)2}7#{Gpdt`d3yjD(uc&rVn5%S+CkvfX_^Yp5wqvWWi+R zWUtRwH2h_r%IEuFZq5$&cQ?rqnLJ}Ag={WY6frfyb@x);xzJ6Odub0l*+i?q0_e|y zIjN$&%(U35D>lU0wI1n^V16ScLC{Z_5|4WK`#`KYB*@L}LE}Onyedjix-S#bE||aS zBds|6uq)3?NA{%vc>SSW>XGCepFXGfaJ8}J%pJ|xh-nhx&6(PipY$0VU}&6!IOteW zznfJ8-*rn#mH)+AD?9#Z5CSkRUDIbB8XP}k>xhn7yL6YAqb1?PNkRF5KM9gVRE6OD zXa1HUdbGv=__|#%mAn1>nWG=1+LxAFx{&FwEf<*trdUqZ`a2P8Vt0wvEHAQwsd!3> z-&*JK$woaHfKUm=+Z{p;Eg?S7lEFql>+s`GZ1Uc=q%bb%#P8Mfm+uTcS;-v)Tb5gV zj#&MV#w4f`U>UC1!RaSEk+xT0aiLiDOk%pZ@NW+O{?8oz_7G5*CN$k4QVpT-T`a`M z@<)CASpi=B|17`;`XT;^C)}0-9%JXPu|M49er~LX9ng6BJVPaI!Jr*8e=_SJVd7Lr z)?Ol|t|p?^!U6)%-I2lKD@n&T^WIxoeIXIPme(eX$p~F^b6Xo4a^8$^RR5u$Y9=FVs)d}(za)vuhaAGwB1pLBf;F+z7c zl8SEjoD7dezCA%INwa~-;0d820Z+V~@~*R21{<6kyBcq|_X4g{(g_4p&q;&|7|y$E zQ6JA;wWXN1WV>`V3^b6i+1<%0;RLPP4>dQQKgdm6=0O7{Xsi=ys&Wc^4UlhTy@nQf zGAQ>D)6P`|I@&?4bm5o9Yi3TI9Rt!|R)0fE2q{2Mbc0&6PuX7mnqKw$TW1>vC-Sif ztAb3&a(%CtEDHXl*=!cQ<;9s^SFw5uC6V>0PClhiZ{TgELZWt5X9AsxmQh1&M8?I}s+TdwoA%DKVr_{zUZ7JY>+^zihW_>gEBEd0tC-pWx{`cH#ATgWkNr*7Vx3GyV_yjs)oY&V^(o5j1;7-f>T|?7;D8P)pPb zuz!;#<`GmMsu_e2!^fj6&TafsmKltBvnyOE6nQlNOi0%#_~-6;UISQ7`8~Sb6_?_s zJIjrE?Y0x-LX@EOzW-n$TCj#8_VdcP8L_`kM zmuc*K?LF%M=z3mci)f+QWz~*`~8MpW-~Ki z|HQ8UrUcD;4X)jB4N#Fz7Ow|wd-NqhkDw}PNt>s8jn*6i`ypszgWJv3A{9KZDp;)3 z9Bs;^hB)rgT0?1Y2)p%Szwje<<@>!4$h%7Ak)0=SV^SQdp9HhzfMCeb1Jk63Ibi(f z;W0~|46r63U@Hov1PPG^+0J+*q+sL( z*;9?S>X9*K{pdkCt2TPu@kz)lW=rsfGRZEMFiKqey?kYh_px8lkOk+ZS*pJ&$OGz* z!UP)F3FA2*Za<4*dEGyT44v~bTX%Coppmxs*m~6}YD^UDcWvxS6f|BDI6zWk1~|!` zZx+A)z=cmwzj55WUIyt#s!=u@XDr-G#J*H_=ryLa+u+&tv-gBO!G zbrepaw%>BfY~X~ojO^K0-V=HEzlU1BKYV+Eou>G^Ij6mbva#s|(?miufLE8$I+B!l z-%j{FZ9w;51LN&LR=+l1KN?B6lyQ&=(s*Z3qtk{(FBMg8*&BkvaE%3A%JaK)k zq0x>CJqs54G$Eh;

y_@&{75&h@&0J>u17Y-wb3Q z%Qy-E((0oEHdudxBi05MFw@Ezc5_a#mVXv&(v<5TWR5KrLyiUmIR`nKHdr`cx#deX= zem$e#F56!<)*r5a9rA{%f9j^Qt?$h8Nt)67r_`T)n6xdlMX9$+VUH*3WyYXO1Si*^ zq0)MT;}lw=H{QfHi`Iv7-t#}k7Vebn{S4{1nY_x*PpkHJ+pViFG_3fpew`<*JEeUb zqwF@e2nnlOpBW8&H|6o!02Z1SF+3Lcw)-^~qANQ7$2wz$c3?InC~nf~BcTvBriD8%Z4-03y+ zMAV?TklcyEg!kF1HhCRs(+`LO)<)(&(PbOSsX^f!VL{2cB#MZfAtCpzMC6e%NTQG} zD79`i+^H|(P6BRjj&14}cf^moB;C{Wy0vUYt+BNptwB-5w9gj2>Xg$LhFvw1O+OQ_ zw|~ucbHARv23iCncPd zdI~3r^86O$4#wxyCxlv(P((IFZ_wD4LAIz?_R#d=bvTxRUd+zo4*OTkY*$11t zC6}k(t(W}lUM}4TSIVUm$jjXv0ofLP5Hq3ztPht!hmD(&ArluK2DNT(Ilqok_y%c5yOsk+nQgw%1;^`NeGk=Ci7{#ROHTsfZV2qu+W1ZA zPH(zeA_RS8oW@>b3Kfctq4M6A>9}tFY5aT&nlTuQV!AR5OG73Le>!1cw2*@FMT*A5wRKH7}BB1Lt3k&;0jWe$s(qp_k8xm?IVfmcEVh)sIE zH~k5~TAb_0aT)2sME9yRRZG3`XXT7a`joThbZ(hJ`RWIK8GYS1aeA;h;b_IqVfObvNvD2UH%qfGp6xo1vt1{IwlHBx zz&=3FJ}2D|@HH<9l1n&708jn`GRUO&zqqNcK+d*)31H_f2>}A1%=%m?qH4?M3}dMq z_%x0OqW`6?{#V`l5&-hcpf;zsa*ZYG`BnlL?6g8hc9abFeWKjXJxX6NXg0bq$TvRr z1Dv0`6xj{t8sZ&&!qgn&V11v!uiu_;3pA8+ zV$hKqoMKz0BJQXO?qrkZ%%I8WqO_dgO^?b6os1iV4Dt0IWJHdCjERBjs!40Z9?Zsf z+V@VrS*Q(F<)FCkU~y4>aR^xLxfwYQ5XGmQE4x|M)iwj(WJBvkTd(PNu*~lWHUl{b z5+YV{NePWih}|@CQP6sJdo1v#i-W=ClwYlnc*qFU2d|pyO9aM`E`&N1W(;43?0*|R z@5LD`HXsF?}dBf<6oqU@DDj*DLZ=tpD6I8#6RHAo#2i?ttr^}aha@=@)Ce(~sa6TfB6|DG9Dfg&5I3v9=lF9?M1fQ*Ye)_4{6$VFLUlg^IHd6phv@bvjEOkp#~{*E$06n8(9)UrL>rWQ*Mm zPo;VYi3ObPu#$~V^qpMJ%mV7tnkjd2FOob3ixv^_Hb%tEwU9J5+bZujuyzO!pA@*tmY)2BIs)9ZYt?{@!LkpUN$M;~6uE?X~v-{UEVwM`(lf)gtrXoq}io3+MzC)KY=Tm!gvef%uXjV*n$CYQ)6 z;8m;^uhi0>o36#bEcu6POjd$O%u@M&nUr@g=!EN()Xg7tmDp}N_zcGlEY66=NCI-T z-F$P_$<)04r#R-t3CxH}recJV5eX5T@(O zYuGo39N7c|kAKDcDIN!Q0>NV&GNu;9VT)++#?gfi{ei|7fl9oRRdAwGJG!AO70BOJ zl&wG}=l3MgeTepa@oz$82KvHl)& zAvt}9!oPlxl4x^#`rX~~!lCwMg-KoPB8Vna?f+2zE+EK}8fxqAu`6IB94E(W*qlQJ zKS6(OW2^oks+x+gCa1MAXfRtBUX{nJv@6I=d- zfSj{vA@oS|D|*^mnV`J5xX^M;x8fnT$+Y1>lDV;}?EB2~+<`R@o<0cos@Z>o2Ww}%5E2eR(!V5CKezVTBB@<59(_B;n8O(ekWWz^pd#XoSSRH8QWZ!a&H7rR1ZS%`m@v?qsin0I z9uD?6b*wAAf53KQ)rjfgA8#O;r6?9F=Z~nvz(#|J>dMAOq}_%+9DJI7$gQH8kD_hK zIz~L%#ICi!9|&Ac1IFUEt;%8nawEeHc8`By{w_b1Lve~UxpzgX#krcmL4o_qbtr_u zz>qchS0?S6Xejt3%$&QefEosmp!sebPkB^w44`t!CHoQh9z{vT%mDjAYV;oLsNiss z*$aiWOEtFSs104#Yc&$Fvj8_f_svq#Q-U6A?Ox9PWmsW!t^P+7?i5hrQ$YSkx*|KV zaQa8rGnD`hcl;&P9$ZXPMU739Zq1(>7EZ2b-|AlCuK`YB58iROXnENNO%3R0&W3o! zAY(EK!{PUIg4A!wxo!*QhF;>joi#H1q3Ra79^&f=uZ5nT9s^(Q$o}x{S;707)LdCa zuVb&}Ik)BAo!MYyz*-o=cdf54$o3?w?sVYBlcMS+!yB=P{kQbkBRa6m&WFC^-X-y< zmBg=8dGw?Yduae5d6QXf^C@s4S^aXl}l~8j8ykV$I66JDeZap6G&COGQ0a z{9?}S@mD*)h?Iyr`Xw5Cx@^>wpv&6y^EJFL{-}FqPx2X2r5%{0^TE5)s z!~x;-ivNViRKd4UhwYo=H?(GlY2kAkRrYaTLzaYM$X<}&2yJM0f`91^?hz4gar?jp z_A1A#aw{eVfnLuKKOmpJ($3%u93}(b>@xYY_X=^-J_ZvAqRk17ZSJIA%b0~j>v|XL zYL>UxI7czpz*KO9eZp$>C5)b+=kU?*g~A_dXea&|#Kq}{jd1AdPBB)VZZPWYQFizN zAeo(`GYkuzymbBUGm)U{Mm2VlWQ~lm>Pw2FYHJ^Ovm@J$@pq68C1Er*v@y^9cmMnh zGZimZiRJLvH{XkVUoNoF#iRODAThX5$tp6}Tzei&wQh1(tAX=zPe;TsUV$!yBFMw4pkadi?h90BoT&O;Yf0$=`d_yLCQ|!oe2DadacsY=kkfd^&gc4K z|Jr~otv%Ba+A8#8J4PjDy)B21IRjx_TBQps12w2{j`Q(fVPNx0T6}b4nvS$6&d19V zKWN7MvVy)BWcu@^?Wa~Yw&x++i5C*NZ1|vt*hzgmqhnN|IQP z+lnvG`>Ph`W+9iZS1GKV4K>rgSFl+e=3tlk-yb0vc}(<6%_u|FaDxOfHvHJKJlvC1b)=SHYOl zV11kw%G}rmZt}tH`a!b4e4LPzXW;(4vI3ko-0l6MPmJAx9Hs-}Hv2`^XG0peAW7lt z6byb^B5V=fSLKTA4$ZG{gfNs_D^Tx;HD5H0YL{xN0}~XM%p&mI3USleWx6-;{z$@T zP?LSJWlhDDYv*^nq)x;x5ZYh*zJYTeknPQZx{m@bt&4|7 z6e~43pTEp-KTZB}$#i8&XtqbueUS+1GH|kDE_@Yj0Xa6DZ zEh;~E$ zG)n@yHLkOFnO}Ha3$k0fUs%cfqo5YG(wzOZ8aa^qm=N^yfo^&g^}30@Lawn!%J9dr z&5=~zkq>)w!V8yhV?D4t0b8flh-We80WcNj($H)-^7|;Ad)>%XMXh;oO*X^bxE!C4 zlXqjg^;L83qoS$;<^z@>tKBJ~a68gx6**2uFOZi?$m|0M5gU3X9&-Nj)Ju)a+ZTW6 zDl(i448O5fqKEN@>xHr8xMQ_n>xvxR%e7Pd!8nO-8?Z#lfa0!{-s>UVDrx02W+ny| z94@s70Byi981jAxb#%|r#^!de@ulqd?VtP?j5ZN}WmvA!L@rv6#cqj?yqvzJFhk%sS%4XXe(*-m}We zfzu=>JGD+dR!_Tce9-sbs*T*?AgItwL{*7BPz8%mWq)>C5`t3Kj?na zgf`t` zwLLUOn8iA1i4N9x;TP8_ocf8wo=RorEZ&86 z{-URGEy41l!bMKXbaeKfHR9%F)8-Vzu1?QZ-&6SUHYaAXAyfg=z1SF@ew5$=9jv3&a+zM3g6!>iO4O-G~vY~$c zP4R)H9I;pZzp?=COeKbhqQOhcbI$!4(vkjGq!=}<=d1Q6uB*7!y^s&VpRWp>ex6f9 z+coWI1eJ4{ZZp;Q8{y04l>W|w(mprv`>P@4rufT5t~jUUUR{KRlm_%$?_;+2)sgfW z$l#i|iTY;hb(a1toyG>wp`!IR%F9Ns3ZXVTAc#4_%b)dv+kt_IA7we@8+Qa|8>?GR(*4 zDm`JnMSYaabjY2Hq{A8i{E0X4ijEIgP5Z-zrm!0n;w0YAzCb#$k&s%-#;3>oF1cx5 zD+klka26zjp6t2l4z@@Q3b89>wbgLkPKyeiqnnX3U5@1nn)7eEvy|XJ*ZM`PGm7)E z0WL?n@kRFKvMHFvA3xkh`4jWLrnFX^Up|xvr6DX+q0~T(082bhcO?Y3dYCanJEc*# zHQ~>BOb(1+CAt_i-X;7T4R)fYIY?sr<;^M*1j)N->qaSoH7Z@*I?-EVSw;3W*0>-1 zu}T*WmivM8;GHERlk;5nX&=d-Mt{w$`xYU}WO)3%i&{C10|021X@3FQ&S{$N1_zUL z!euSufL$}0A`7(yYU-`-UYFZJ-Cbg`_R4fKYt{3B1}q)E*wZr<^friCc+plKLx$(0 zb*10NsYcJ2e$S5sMKi3UR8sE1@LxQ(!M8%fx&qB@dgz?6xSk`{(`!9rJAej{k%${@ z**Ou}e~6J9$~*ycp3c4;qK22MC?wzfHGNVIgM1zs^hL-1YlX#^hzk`ETIPoi0rLB! z;}mGel9gU1_Nr;Lx}4CJm4{h#5uuhmx2!H+N(~EDCg&EdcP*6IevoTdDz(||{Ta)u zKX|AFyZ*ov^qC}n^SAr-LhAeZ>XHq)iC*Fm}5T=~eF0B)mv5v)62H$Kc9EX32R>a9a zo2v7<6a1o~^y{DWolf3I1rrp8K8+9(QJ!yKT{CdM>Bm?me1V70Xe9V&7LDY0qs-&o zskP!x0-JOsg($C<>@Vlsdp$#s_R9&Ogh6PHk<{2GvH7 zo;+8G%aH8tZFAz(@mOm6V$oSP6!j$jqC9KmF;BCvGYSS}k6@8M(V}8h&_$=X9R#$- z+1>m!ydYFR@@6u@f|3CfJg2`3fu>5G$I5W~?@D)mv5M&$Bn1}ysj!xHjdmO_&@Z<* zF`aW(4l}}g->8aI_Xdaz&?i?{G93I{Zz&4bnBjj!cJaIkO`{O&V@{h8%ibvRZ@$_7 zjD=#VAF?oU>s}%3KE@D>M!d8d@1a{TcG*gJNwDz=c#khGJokw+5lzg3poh@6nK^GQ zn;Bu00{TjBQBd?33S)C+_li~{7APjJK>v%nL@#0hnZ)v);X@H=g2DQg`efV9;e?P(z$T0|4rW9hb!swb{LU8fljeTHMJKjdCJ1?%bk8Mlsuo$wfQ}I%1chjV zxyI7?42ra^3k45NImvOU<*$S9@Q6E)gnKq-4PLE_&yV2KUe-9|85l_9)$%p19&7w! z8mRIQ&z-dOs%I;j0qB8k(>CcK!o%Tfm9^I2Wn* z3jcC84WqHm%GH3_{`&Z!dP{)e$(v|a)lfX4pl79$lMwi#q=(7piV1$!(xJ)ekM_Bu3J@In4X@GuI^GCul zrGkQ)qGL8+w4lnr>*XQ8XEvsiv<#$bPU)R+pG@p`uFR(!!>cz-9)i2oy#WI8kd{+Y z|5XB=(t+}0y91y5LVv#8s8ggW5CUf~>}klgqdk^7zD0^AKP&RYUp>h2-#H$pG~|}# zMg?ZNN#MR}xj|3Ak12*|Ji>W@QzR{j8tneABt3TIa}^&+@4I%*zFl|g#gFgMQCz?4 z{GiT#Y21Sx&zL){$#hS&nFBs{5hXrJx1WM(S)r0kfham?P>0!~WY%<52B`_k%62&< z`l4<(&^a&vN9TZTQhrin4WrL}lK$i-zt~4Pj_pXI;6f8E>aUNoT!zoa1SEMW3(ag! zvT_P9-^XaFX7h_2_YbfC0j|41x#SjIk3pn_0h~Wez1sm_Y!a-cPnhfZoqPx*OI(4;*$TWoH>c zJ+DS~b4qgDQn-Kh>C&Kgh=*vX-NC(2C--s{!HY3V>p16}J4GSS@)JvnUb;QJWNW@? zG@eWATid+iC3g|3Yhs|FH7=#`J%dL?!(f$jR@#Q8?sHea>e>+_&y~?W<7w#xMKbx6 zMSH(78y8e8-b>Z;?t#bWy^ooiuZiUq_oMGL1m1f9QeN@-i3o!C-Lc$eGJ&($4WElz z@_#yWC8BtZUlG$3s*m?o!{3=^YfcYM z5jj#|A_jWC@>Y}ogC{2J?xpe-HRG}uDmlN3_i&<74LpNbWxefFHLvexlyehJ}UgR6wYV)b|dR>Cg=Xn^w;6H_I<(%lDj$r z*_^ywD1LcZmkK6LzoYhYxqtQ@ESgq@$iPYMGMvlBF58FuvEDXJK!DIRs4yMDZh?Q0 ztS%|4vT?RU^nx`S2;M6E;YWcYo}Q z=ENAbmXagtn`sX5y1adX`xF?%X`E^iblX6OC!~5bPVV#o=HDzijtWW7|Ggh(GZ!>Z zkNw&ep$fN~7{~`5Kf1*R5u)IH8=Dj|Qa*3^F|pp$YM|5eS<~QV!IQ4vcI$t zuE{p2I{52Rldc(EX^{&(=&WgYYW*#p7YyEp&MCQDv0hq_4s9mqZftNe4pLL=G>Y2( zG}rYdur~_UU&hW%SmIQYKCr)N!YSRt8`X}Ftn$8T1T>I0g$CuL!EE__0%ALNb-url zlR5Yio1l62%GGxH`4q)+K2RNVbO!OqQg%D=pe0l@!4_ph`B1->X5Ejy;i*vLwxEB- z%_xmn4w);0`-U&FdDVIh#Jm=Ja^H;$a3}_)`=sISF$YzyPp5_8LvH&JQ>zn)BlEv- zo)SWaqI)1pV|=;DE9EZTSiscMd6~G%?7q~NJvPBgrKz4R!SNKq@+bkL?lGIq(cy- zyCpUa(hZ_?iZn=fH)n0X?>pz-ANSX}=lpT(@s1&5y=%=i=QE%A%=s$5L%YHWA|Afx zE%a4Ok-Zm>uNP;QizS$GpxExD3udf6O#k3RQF}2LzuYbeQ^x7Pa|y6un`G zLDG-K8xB1_*$9V}(ULTimHp7WUF;7>QZ~;$tga{`! z&(ZTtx-J_0jxd+G&OxI1!f1WVB^hF<1YY_2a@d9jk1V@Z)?pSmbLMo{+3OIIJ(rMi z{l0eV^@k=lTO8kQBj?|7R8!~tMAr4MR=n1Z7qERx8=7>|CgV~~XKNFtpnJmV?Q(qh zon(Ey+?OdsVtgLGEt9oBq|{*FY^N1zp63=xeRy~e3olH$g_@_vZH+;b>nMDQ$9`{! z)M8Rp+&WAA4j0=1j>HjTMOBXShG3n$#E-|ltk=!eBQFQhY{X;Q1n(4&w|vo8oB&u# z3y&B5k{zRe_Mi#Dv(W*t)Jd46)flVy<;(FC#|5oAe1a<|w3dPJUl%Vg!8EbME-kMw zyj%KcO7%wy+>1N&%4el*W`*?_IVoQ4GM`&SJ~k>4$PG}D8a_`7ITha@x->z!b{qy& zhR(Gw?;TkyN^ z!Xuq6OWn76nAeB5NOY^MzO^g*!1@Qre4EswvZ!AuCsc@+_;}5PDw zi6=IHJ8~#3VUs;#Idm@p^W&_%!g%q!IfOfj?3WezK_8#(nAQl=)pgw3)`y!}i~@9A z*g3I?!46-CWbVG-neZt#ST?HlCXqESQq2|^eKV0%_Nt$#KmH!Fj5!lImx=U3K3CS(>v1 zlcTE4=m+)!vbP#_0x*s_Md#WhM?8f+Vu+bN25k%WsXR`fXJRU4G%;v*U%vr3?Si(^ z>q!#K^BQB)b-#9zCrd}J_qyG1c6;WV5{>R?CKuKC(_pciCk$O&+HMD*hWOs8awj}Y zX{yasFIz$m_#5`Aw))AlG1m5lB4q{#JW{{CZV#tP6xh0oac;;Lu$)ef|Cl zFT5j5;v_liKaC&r4ZMMO}BE+#yJfmWb>< zROAdcvnv#}bT`64YoHo5f*nTCN@Vzt$`7=-*D>G!9?dMmrSnZJO*@$5k9=yk?UG}q zee_4&3*`f?7Y+&hj+}Vc86g||At6rGYAnxBHrt}@BrTWbW{M@&nA6OCIdK;~?#D}f zzJGOAmyzBTOd4V}X8mOP9G6l2_8bQWV_NDXW1&6s*1(a>S-90$ZDxDL3q(JIDB0pY zrRwX(#~3qiqx2<!?G*$PVM>-Zqn)%1Ar;%qGpQ;74UvP`v%BjC$%g06ICzwis4~yms8{UqW^G3#; zsV&V$^KX>jhIvq;Q4gJX^4XVNY?$q?T58mxrKZzAdS^j9${9O5+A4wpda2D4p;bcW z=gyQ9b)O4fjOyZ9f($l%ybGT;ZQV?`)h2?XN0fWC~&Pm&LjN2z2f118#`umrn{w4{Y1xg@~Y1a zG2II9ii}jyLgy41L}|l?LE@?X+g#K3vf`+-b`o5iEZTJt2)$vS-5Cq1a@C!NyIp=$DY0nU!q{o4_jTW zx#?W|Wgafyg9UR|tj&EpJ-3Q>J47O!dQ!ntzlnXHUp|WI-k#$R-QgGoPt|yzUrY<@ zx<`papB@aG#*LjfV?$j8vs&P*-^OU0Qghj}_lJ^y4}9j&g4yR{JY;v2%n;pw8-@hMOjb3>i9jsW5@ zq`~9Fu^UC!2pT6ThojhcOFfc+o1a-p{|K(qJ0dDs7e^WI(e=28e+r&8LZz8r0m9$Y zpmD-(&Ywh9aoX`R`1I~Vh{C66I->zhJ-K0HwN5EeKjg;0_p`NBP+FN`-;lwOFrM_Q z3oX;q)4jr_r3OuGV4@P9zYcUmMiaCg-!BY zhim5UYoGhJeZgQ7ao2MpO}2y|M^!5}eEW`a{nw13_~xeOzwP6wUgh{ za@x6B(#2SjB(j}j3F}(--#!9pIw}+ zNZYsIq+pbKn7`WXUs_bJX%k-Se@^AmyVqYEDG2fB}>hJ>@-?k;G zW#FrSuD*Rc~G_@;-8}oD5t2ajU!mpOpgbs*L$y)pP4q^qE6275815 zZ*Rxxl?53rNe52WFNwHPB-#cmtM}_U-blRlG^ZGxeOn%cS0xak3wC16cmxxQXy${p zI^Mk9*`{$})qCf1?#GQy*K_vV;QWo!p^cQ%dUPg@o@wql(0C!Nu5Jep-3PUG-stx)HRd$qtfLSvEQdD89J%sWYC! zF7z3=`f;AjyS8i5wsfU$iXG~QLr#f7)qg|7U^?R5;iO4|i zHE=$ZeJF*^2m=tYJzlNV$|h3S#WF8@Go#Iorus76HC$@+$x?GV(wD-n=FM9luV(YX zvvL;o+3hW+9S))@HcP$xaUYP{mI;*>j-g+5F(?TK>gY8Y(^e*XH?-1<)P~AAxEqp^ zp4*DZ%Nfcq4^JPllc!&~I@Qi-QGPS{e!0hKKR?c^QAOx!Q!`vG@g`gJOz2bjzDDkX zYxwGJ-%BvOY^IJ8>jaRS>eN`|qKv~`K_mfHcQ2Epm_3<0y?lrU%4vV4rdYOZ4}ZkhS%1l76`776Or=mDlR}p0&zUrPmKn2M8{RU@CQD;wF?Qk4~A;8zC;7F=NFiNJQ=g4lKRD8 z8e)D<5p$KPzBx;bVmf*e)lrcqjqn5xo86Ep_s8m`nOZaw5ouI=XV0v(WlR7A_y>Pl zD|9q;LT9~+CHL?@_mWNsO#P42OYhDlcpFff^&c=D^c%`)VSV3e{B`JBP!IZZ$&+vi2b7OC=Se|_ZTJYYUX34Ie z<=UiXI6YDF$Yjj#HGZSp{FbAS9d9bO`+v5o96`8>1CJ6r@B@D?w;)+gX)Y;G&r)?R zAs+n3t-nid?H1rA``rId1ffmjVQuc3TSN@wUQ8q4maepJg?JJpPIQn)K4S(i?v_~Z zoI9rv37<^PU>SaG?H9OA`+9=;93*Rqw_JQz^%fUN-S=)b+SK=KB_peY-8x!5(k;W_ zaI`0fG_8xc=&xcYa}DWBdbC`oSMpRYp{y^77{z4aXq5tiq!yRT#@bQ?lT@2dRx%REgcb8vhC2xD5QwQys z`WE$W{Oeo1zyxDbfsl|Xl_fUxO%8!OeHQ`xzXjER%h%UFq!NhSo~=`myl`JW|J_XV zIe#0Ai*(WPpwp2(jxlBfGK(tue+%Z%Q~2F^pUO}L&xH$+EgBSTL_4oWvdj#(1#mciFenG&aa|@pi$#vs?F(R%^kc# z7+Qn(oUVoL{j?R;7u1wz?{O~tavZ@2?U-p0xV9vJ+IMq;eyXjBk<3c=vrlsA`qTD7 zDY$BcHo|EKQ-xe}sK4zG6m}G#%cLHUiOVj&n%}C8`SHTG3*@sI$0>~As`piN$>)H8 zakjxeasTB9xrgoiza!NB6_FeB>_K7o76S&mA2(#t#+k;hWygLlu-f>(*!9HiAY971 z*T1YGKEy-&0H-5}>R^flCph>|FGBQkG34(UkziFviXg!e&gp-_gzI8sHr8V7Lgn{E`m_ zFU7;in9IzboWGrs*rjiD)A=lde`B|xXQd5kdNcYiRzYxESiy2AhoGk;Wg~`DXEy63 zIOfZgM#a{EwESLALGWwc1_|TWR$n*>P4#~U;8F5L-tE@lqoe)Uc;*7dTOC!~-yZq) zBVQ^$IJnp8YDrSJ(V?+GboOq=!76hwN!LM?V8=2wMkUIJao4E*%HHXs9l#S%|F`5Q2TS{?o z0B+6FEHN8#{XSKlw8VbhEyt6{TW|1=0f2?@1&-1WjKcsaL+rrI=2r1^OByhARSt`= zZC2{;11Yk>CPpfD+BD;sR{2UozjBBS7fZ^K_KO!eMgp|}V+MU2A2QEq$;?&rJ`p0! zD{FtU?jbfgOc1gn&#qB4`bkF7L6+deO??A8r3`hMdAqE5;`4HIw&<6V@NAUKM-Bg+ zn`bUKtKay~g6z!+Tn?|I5-NkjZ{Jrz6^;P&{q%aO^2F#oFIglw9~n7S>-gC!x>tqV z^I}wU>5QCsu+y;5SqwgqrfWA@XPsEE3Cg@fp&vQcibQwLVIl5Ajnev)HOILWWzgUdJ8Yqy#X+z?=jmkn1%% z3-gjE`}Z6Z91f=w^xL1DA}o(9?D$sg^RLFX>eONld`&boIRJe{byk*}=K4O9`_7rk zuJ(D_8LM}#|A`sg$hXKw-pKtc2r8IRO!@(>iLFIr5&6qm2YUCK9omF3_tYv|gB>qn z*YN7qo@@yk1{YO@rmyn>dJPD9hsZ9jncCC+m7}4$IEmDC#-Hi1`g#InbebP zWzT0C&WS>DNvaV+&HC%y`N zLj|nqq6H~+opKY8qDx6w>hKaFO_KxnR-**}8WRpMrioc#Oyd&-^rsWrZj9ZJPKRLT zz91mZDUgU;h$lb{zjn@$U8dEZU~2k#&CnTf32=}P?2uf|zbz*m(A%Ua>Xl5(B5euG z@Bga)TxUEoEKX9iT-Q4Wa)TKnQ-fpV)6u4PRtbuOfs{L3c2avTv=vzl%soUnUmCJO z(mCwU&tb70D(A4pZg_V=SuUzx>WAQVrbfQufv(mDI)})u)em0ZhFGKEu_7lF2pjRO z^ngSrfl$H$d-Q#_FPDO3mB<;F=w~_dB&O8k#V<1C8EP+P1#hD2yB3m<^~Fypzop>p zi(3A!V4<0+)_aC@ALkA%uXZ?Jdhqr6GL6YzC9mD=BgY*Tyu_+>=e-4muQcK%0q0J( zvX{u}EKNj{#}W26jJ`2Q7$_?@k%_dJUo1o(SA60?Jk?uBjM3RM+9{pn_vBG z*n1ux99ukBZplSZIFUCEJEZQtsXj2*Uo@NQd0wcz#``k8j$zX2dl_kv^qB5vHK+?& zRV;Uu@0%NYg`tl980*2BwON;~<7K>pJk3n@!=0v~1Fky{8IqPYB57Fr5#QatJ6Gw9s z2`1BkP#BzOG+9{~Io35x+rf}W4X!)#;v()G(uN|%P z)D9^JBSVjQ){0c!`<`kZqupyD$8bh*g<7;Y9NGA0VL9a&vXO4kYVOrT-A| zW7)l$N6h`)&p;{pOG4!~+lLzve@F6O-J7g-ty|iedQNJ`Ce(Cjh*mDhf5hh=EhI`htBV8j)j zT@qmn$rIqYh1P(VWF$pSr}_{&T2lQB5&9Oiw|^RvqApI5%)TkU?fsU#8O%hK6DDIN z*cewLwo{W6vo#aipYN8Ng||2}H?dTRmYB3Tkqo@I{2lk)t-5(7F0o=A(SRBH45y$V z1sLF)xkM!EfS19u#{xHi9}dEpahFCzW6CVxncr%nLP*{y>rIHURK8aV$F`jv&-N^sSjm&cLd`0R}i#sXBsNEC~7f1kb+=Jg)*~_p&;-)+p zlw`bFMPY~D51!njGlD?pOwkcXe7682bdhK>u|s)**6uM5!rxC2{)__t34gv4FFk(x za`PM83QJh5H=xDPrwKwdsNz5r#8l?Vz#(M2<8381QOGVMtg$5cGP8ljV4PG~{ucK9 zeJ?ei$2?}*MxqtA;}mL@zNIZ{9H`XJa@Y)4TWJfOBiFbN%#}2Jt3(-Em=U$Ap{$d zi)t*ap$sWMcd%xCgAm%OR!$gyIN-(I#L(GbwN~%2uu8A>!LaoRu+gtWkqkQnWp`;v z)pmU4sQH_A25xC&v!s&mC*Kuwc{(b;l3AV`;mXy1Ep)me(T;_&v^L*{HPR%Dg(AVl zT#ps)PEILz#3j2#7)CD?tbpU(OX;xeyAF-7^$F5 zRBSPJy)+V(sqszfjgtG3rtl|3*to0P58_!kQ`6{o!+@bIC6)RwJ;{PiZ?2x#Nt6>y zOk>*m&=<}sLhdM?0z%Mg_Y%_737e1y%?;H1q`ag*Fuv=Sf9Jiz*<<@1M*#?0L!Z}VJDAud zVCOe84Is3w6l0IU^9Pe>3;;qOiS5-Eb(f>36WxNwAt>@b+{`sjAGR%g3ulYsB*c%7 z%6%%AJ%fC5Bykz|t}#YX)o%R4idI_~Z$b&te`T`y$n(-$YYK?5Wz}5K7w^Ckj2MS~ z4@QbKg#5j7^<(?<+iu)8eu1P(DrDJRDMVV_V<}AqO*Ki#E>Amuf22lQB%nrL$;9q- z`KZ9+NM$yt3V&dfBX$jN@zD!0TKDY71oKQPA89;>mnRdc%yK9BdWg9WjcNetJoSNQ ziVx|4y}P(Wv&p-=C*BQpm-wuj>*IMY)14!QN4e35*)N0rJ+{`(*3Z8ORG9w2ME()n z6yG{&?pgcXWzkcUUST;czrgmok@%#@sqNLF@eik9e7-!Qft$m&>XCdUMp<(0!={Z1 zGijT9Q1(`AW55K=Yo}VFSVpfFI9m|I{I8E}drt)E-I+FN>!*cA@?Aa8YfM!}i~k(S zWG~ptt-s%X;5F$sDOsu1A1t$@;+#C1r7Wy}&Mugtk=Dr|X;5zS;(}!Q+f_t=1RjUwhLsJHGEMOuose|(4tX2{P zug1`u5`qLKhtPnD;M1M+qM=!#71GFE>Ma8nY z=ZxQrZT4D;h|jl3Idu3lL|e?l+Nb@*jN|!u0}i!uGGn&E<(5|MRX>+WxZi~4~O^0(8ad%Rd+(S%K@S_T< z2G7kTujmF@?tSrr&389!FyB1)dBw{XMOof&GkvlOT3dXz-%Bb{aADl!U%0?RH=tFK z&V=1yu9eY_)BD6_lU8xJxRa&T-Lus-s14BCXZE=?)>Q!uP8{OS->s{rOs2cAWFnuC zpcT$O8MZYZv);9i6V~XT_cCljy7aN~+ISoGN>SOY`9V&#S?SsaaZ$?IuLqRipOkXP zrk2<$r-;gAbP(H%lD*gU0P)w=mziUBnWnJg$OlDh2sfJSz6~z=JO31YZ-kr*ALH2b z7Z3LF83+jDn>a*=eQsvzw()4-Mhs4UMstYfkIm)g{cKw2Qtd?h$(DmEzo}d8ndk_= zqM~B2{eOmj3l5`_ZY@^;QPGNC?3jSXnOq6t4VQ*&RKp$X8~pJ+Dq|%EsOTUt2L$Bu zD|#T0u>goy$Kjo`m8?0BCq0V>4KV<9K1B z-CWBCfo+CD1STfyeUI`5E>)@Eae)ZK!7pDx&Y~r6{19^pkHmkSAYGK|{ciCF~$zjC7O{J=hVcq@TXKo(XzhT** zC2Tg@hIkLi&P|T~&^X+3INbcjJ8mQ&Q=pjHn4r+#^r?FVqLM5mUnG$y@A+a0hdo2R z-g(dR&zH97`;yB8SeT4~7Jn_z<3G!jf*l5ffboZIuQm76-3u&|hL9XDgde@vk)EIM z)Jz*j)8uc7RT@GF^f4X80}sl1yOIjrqq+~UD>Ntq!4H0q4y2nHfiwDx3L&s3Ftpw5 z;_!#bv<_Ph_?@80fhI+j;N>;ruRNHkK*+Y{&G7p4aaxSCtzS2HPtPVXvLN{EeaFEL z4J8>y9Jri*bkK&ns&r}lTm_eF&=)e2_FYz^p zbbLPn9ZKW}8M7r?+8m%@Kjr7U^fQ2hLxiC1a^yS>1jmMNhm~;wRwM;}cPjEwfER0l zw26YvSOz7J5gK##v&Sbh)_icZ1{sKrE)B5BM>5vcbgDzabn6@lx@tCFrKR^~W}e8s z?{VWpFPEz`NnnTA15!kEMv^elmgGyR$t_2%X<+kJ2YCzc4sWtaN9(g^T>g3Hw11BY z=f7im2@4Hmm>2c~$Hbf!;5GAdCqtNy@GH=Qi4ILHcg1^C`$G@o5cBm=Mih zfOoK2BXeMP1_sw+>Q4zy2j&eqeF!hv)EsFcU^r;1k^@ezleE?e2Bt&IS42F3uWl`d zz*pr&A9NNmtej9=hd+tQf0=wgT;ef=1g#t*iR%gzD833>?!64Ive8vgNfkd5p1FNb zT`k+nF<&P!JevK;+BgCSlS1cj44VC4C0)83kLGLoq=v9kG&Fmn=JVbc^@9lw-~=Xr z(5~VU!dZcXn_p52?XUnup$sGL1%mD!@O84qJ8gDLb3fi5vUkE@n_&GzcRdCMLfF*C z1yIJx?>yA0367)GzQO?y>cX{}{^k?>?Ws1tQgU;-L1S51zR}0!zsqOJV8e&K4sC9z zd%p^bqz>~_mbH!>EMh>+PAq-{%~p)@H|YQ3TlUi37noMrVCQ|QaXvaPyeiCHDNQh( z8Wnx$l8BlKnC3V2L-F9+A>cxcEkukJNdw%^b!|Zd_u~al?d|dX&KyBtM-4w z0_X66y!94{pF$rJt1I&Bl*C=!53HR4E$qze44K(M1^)rOAUFk)SnG~v$b>}Psy-hEi~$!QRED4P$9M0a zj?P>^-a%~_6m8d<&?r4`8fX-L_cE>$fPMW6ZdidoJ}EjQq!BliNFKaCAp_V>N5|;3 z%QWj9M;=VH27J270T@7~4#9WzeGqa4w~ZzJ5e9qb3XuxmuRUbz->~mLTbZTzYMBBr z3{KnotrRLFH|Y4c2Bs*LhO|&Xt{!-NJV32b6SczIs1=Uv9a9UOj;44#MuHWp0`t?v zu*fqZ2Ohv_4|a|QFwQIRJAvL0Z5D-bL{RTR1$MHwcF4hFpz`Dqg|C+Z7NLy=6zW3t zNIK&Fi1ruq zR!F51fJ;bC0s#E~al9L9A#&Lup}(|jpc=<;J!Y`fHACnFVaZs*!vs+htWfXo3U&Wm zAq-X_6`{(U^gjF+z(w^TEC3gwuCd>v8G|-(jsLoM2=o!~Kci?;aXeHY%l#FYiE@v) zvVgG{YLA=%k%_n=`~kW*4|tLv;>)#&rSF2wB!aIx!iHsX=+deqc@H(zbu_AJT?G-KD8oWKzeO{;w_4 zu4;yqF@@vZ^IzR6LF4+;21IZoxDk~8_SD7A^#wP;)jl3#_ECTkeR{GzLl1TV03~jz9 zW-Gj_l2xk7GGqSfTE}7ADhn!8fZ7A`}IY(%bwz5EY39 zWR3r$`WXS$uSM)`pfz7_uQ(yGKz2^>pB8{(a~iUIvOOt-_mwm@I)TsrUQE8K(n&at za80aLqaosbT?*z06+V*%YNX9;z}{58p`NN)Xb)4^TkOasCuYux{mh&@VmYjSO#Lk% zCC}ydG+sD~+(gCu<0B=%L)=U74eMh8#oYqW6<%=HAK-RzYfFx`UT20hB`Fw zsF^_jhK6<%KYgid#U;P8L1hx8@cyNQyqXt8=H9cJA3#Xr?|*a?s!Su1(WBbvBMpR0 z-t^2_Hy?g6$e&)~Xq3HX)-=VBm5;b9mX*I4px%3~#0IL&wYWG?7aN`@2qa$9+k!BZ z=<+`v1d}0+$;FSp^}|YBi6_rM9k${k7BL3h8QVU{C=QJaoB*$Od|Sc;dgQM<%mV{l z7OpM@ekkOWpGU@6AA0$9reRL&Xd+&dz>6g@1>UF!{4;?EdKO+9zAmgRIFOEBpO{zGWzuq74; zSZn11;kNY~r)$omt(ovDnWF5+jJc}GGRso(g0B&jxI17V(m9;753u3Z?~~FF@044V zEEi*=H3(3EIez|zHKU!FdWo{7|E5IfASHTz5iD+-_jX2@Ws?^7uoyZF`d`tWq6NR~ zPKxPN(r05BZpxmf;9Ly`Hd5Pq%d~|aWPtd{5)M3%(d}ou1x~nOWuQC&NI-s&GnJyH z`A5m^mO9ybM^u_xL}Tohs&&g`>F=6J)lQ39>}DU|0y$H7x*Az?Y{I9?BwD_s8Lu9~ z0V>E>JVo%KcXU>YK@50wD1x8UhKww80e)cHE%RQkemU=evyk8sxSD(~9e`@d$^Z!k zg6Uc7KcKx_y}0^7SZeh9v#cyX_qk!e+Q(lv9a!#ogvC?mfdeRJkjWvrVHnu%EphK?OU+RB5F9DT$zkX%ng52H{@0 z^FPVUlV+_$xVqm#-vi?tqfhTi6FLZ(u)VQWLv2SA9=#VPbw<{RV;W1714|mlkfFE* zSaIv!xR3gHy9MI+F`CwWGiRPRBV8D=T`>VSAON3GeTXAI)}=RR>i%}*H}=l-5Ly;G^CZiROhw8MeFFV*I!V+||z)_sFY9uPXH-*FDuXcq}3KeFSK>8MzHIMhYss`?9i zlxjfnzv3q_jVhyik6?Qi)dTRfN8rt%^3S-)(tv(PQE~{)JOl6P_7X2G>?f;9obg*;mx|G2cCDJ~TF25_^ z$1|E1W9zg}UGONRL06#0#P8+~)CiTR5Xr8YAux$SZKHFP$u=xbDByIiv>H^9Z-z|m z=8n>9xHkQT4&C-zkqeLm@yU{9+4GI_Q3_3B7PpjT?pZ0}cXHz+-mYQ9GJxnvRO@bi z0|A*@90SY)qsjlE3vx->H-lGD>K)P6le~_J4ruFwMB#Kb95-r84{UD(A8yHJIhprF zpt!;360T!O>y}UHuD9#EK0~EJ1a}ziK4+zokkAL%f>K-nb8_Ez-gtUu{}0T5Rq% zwqNk{M=w|6R=8am$X}WeFJk$TK~YEu5vYpr1RHm5N*P7gf}9)wlvIN)g6E+Lza6ZD z%4*~fZAJtDU;H|AEzeb}xvN%^G6=IJHgeUG+Y}STj<&N*NTbFd{olL3UuUSazl#Tp zpkv+f&e1{K6m%n@x?HCASKhc&E(p|0z2ZCO$9Q?D#I=qnQ^s}UA z;rzWDGwdNNU4fTDKld5;T*|t|Zm5ts{`6jIpa<`JrsdPw8#(s+!*}l}Z;lv%SU&EZ zRia03{tA)4O&xtr7|14u9S)+x$`1!0K-FNQfQm10Q?00>|e2E(3P)A9`3zB+NVA@-tB9F?se7b_I7j$1Q{+l1bS7uGw8BZ3)~cV_#ij% zX~W5Od<+sQoX~Vz*d?|H-j&X#D-4xhznKWdbE6h09uXh}Y9j65xMlBcc1s(sNEjN> z4gCW%L$_Ig&cpJMx_n6d^cm@S)jXDFgJ20*D5j5f`Wm^^@1~&3vIy}@Yvb903QU+E zI*drdia;YdTHIY?(Bbxs@ zH-CQE;a^&uun%r@V^s8h4?U=g_UVMHulSB)d2ZNep)#FB<&n&t*s(|CMI<2ohDCyx zAJyIQ1@)J)K7^?rRug3icVPfINV=`BP{npV5%e${8n@S+jU{jH18%^6?@Ki%D6{JR z^W2iNG4d7odgt!ZDcJ+Q4^r#9G&X*rfMk}WcGuRnVc7SOETl58sN@k-TM{Z`ISw2* zlWNRIcE9u{k$ ztgMIsBJFgKB#-y2DOUpqC}P`z0S1S^&&nN+dQxw5zY&#>XUA)ZS<%;%_3-l&-_5FZ zI(oSEyCM1h^)UySWEDuvDkqOL|MB?40cl3f-ohFG+w0AHk4oN?>l4}gNa%61D$p-W zdGtgbg*D?*sV)G$pT*5g^5M!3`RZV1K=^f*{O9m}QqCt}Fb(osN1|ZyFzB^hJHc65 z-A?}9o_Yr0?r`15;34~d=8@MDLwG24AtnNVMU=X5J`{3HFy=xI2It&(FO3x?YKYp( z)V`19b`DXl@B8g>N)SvVQdNl`zB^Xx5wd%W5Y+ISbVblmjS|-8X{ANJhG8A#V{JG9 z`BYxRfHn)1zdEb%#RbR=wFSumRs7BQhu*(sG4Q;Rk8Jj7Iq=q}E}}6Mx*U>k@f;C@+N?96Tkth4WpikGl*MRLY$7^_TP$^mw|OLo(v$83YOA zZ+7AvNx2h3-1-BHjeJQcMpI+CGQx|fBwG6 zM~N)s#aA^w#bEfAqlHfY(fMMySibtnb$jcF@M9s@b)oac1q@`>2Tm@DHF?s4QOyyj zi!Y9rM-j8%dIWB2fIzg3menj@+BdkuY>`rH{#d_>uCEW(#D?mLcxak2GEi!$-s|G# z(KXTTlUmKo8pFr1Q#W+CJM-QUPqU{3AO02DodI$%ArJj+PU&4U}Vks?AxEIAagsViK<4&|5yCg zmB*Tzsqcc90d%RtJzG;w$?JtSMiN;(RA3$& z&Q&qh@B||LuNn<^QG+MxzsB~rJdsuy-0avErh0u5`Rp!0jVYjo=&w+^^$yx5ZQ;>< z54yo?^jofw5=@;w7PoFH_)TQ3$i*A_L>E$+*;{MQGnfiI2b{-a8NbvR;ucr35dyM>S$ zo19w`Oe|m|Gq_Ly%~*q_@`xr-rCy)SMBlT)^L|$PY-d=jyeSvxLPh+J+s@r(fKKI> zM(>_SqKd2P%3XA~FJK&m?XM~hytUz&Wkp(Ko&>d8(}~yMNQott^dDh{8`Q9=`uNYc zV^*H#p;{Cy4HQ^~+X17@a0;h-Z617i+@(K~KL5jV+OL}jUp)xEzE2`t zg!}RH!jVxVUQ-x^DyjJZxm2gK8Zp~nhyAKI#n&VB5k*Z#FfsFi*%zr-oEY8$KcwTQ>0{zi*)zlZ_s%=qjeqw( z&$4JZKEuusMm$8Oq-Bp_ph!<}ZTj^+rjK98Z4|+@QNDJueT$Q+Zf@4%XHi+)3X{B& z2vlE!%c-H%9uc~$yx-LkWp#$nM}?Ym&~Gb)5BXWD{y6dR+ zT6e*ogE#ahX!~se1Qrbzwm(b69j>P=ziZ>vYb7mn>aVb^aNXfbYjRx?kS@CT zHF$V+agqn*8c}Z!DS3i{U}^1~YO&dwB6)kdLDJS-nccGUHQJT+8t>pA32tK9=PT_* z!`6ZpGPX-UmA*$cJ&C=(O!hFSiW4X@ytl?G`^Nl6g_?ih=mdCawKMigHvLY{!_77I zM;=QMt~jw6z_+pGCxX!rx|K(P<7c4nER}g9(P4SyftDU!kVqq_M4fv9?qhNZ<;ILYCZy&HY-32H<}g5UVVHGDP3y|$#~x&LS6vwsr|(B|lMkj&n0vZn+dM=ca+9tjHwzbqOGnK`ln*1#NejXc-4_>$@ zYWSTb=>Lzty*^}5SGhf)k5=149xv(y52M`wmbK7Is>g;TOmJo4g&n4BX>ujUDpQLs zoe!r)s^=+Zl8Ixnkq@|%Ep;zadr#@P(7`S^>)&IKC7WGVnpb$ul&8+XCOMOoxus@b zv5LS~#kzjBo&lwwx(}=mX?#-08BHrvkJJi8WwDJ(Jc&XJxuSdNAp*3|bEicF6lQd5 zt#TzNK&KJxP+1Q(H7q>!D4UG-Jw4g^k71M!y+B5DZ7QB5s`^s8bLH9-%z?^sLs5_G zQ)cbeoX!fMC0MO)xspch=+7opi15kJ?I$}koeE$S8p#E*G{RZkBy&ZZpl&BQupXb>?6`TM* zkIUG*NMZYw5zu1yw>j|8~zJbS<+F* zI*1*W5#-9LF$W7cCgbN)4^fBI0EC(jh6O@6YUyp<4X^dCm>`42B9pre}G#%UO~V4Ib@aX9&g{@&-oUCpx3~6}S^L zUL>7TfJ6M4vIVnC!f4iAB(c_$J*?sybe}th)Uw%5Cq8}oKid1ws3y0qUFj$y#llt; zP*7CFSSSiYf`uvs8;CR;(gZ}Bgc4#yHX@2Z)X-F_ROu}V%2rgQmr#UIq=XhB2_Xqd z?h0-^`+WC!&Ue3a9CzGt*}oWZC+~Xan&p|#oNKNRPe`XTgHIVXnXhSdY?xjbgh!NE zN>y$Q1qZE9f=N1toI~G_JRe>e3byC&A1nBR-j3K`+vsjMZG8L!Hm%?;l`UTvcj(*z z9-Qw_o^DBbvDG8-1M^IH6RxM*)&or64FdYD{+-X1 z?e&ZIx$jq|HXXq~j0Opzl=p4%;(c*}F>n7Q z{{8~tfJxZJLtnh3I2vv&XU(lO27`ZH<2Sy^zQkVlroN_8s_*TgG-718r}*X$#JJ^vpFI=-JI{Kw9=ZPBBKsbmJWk=%LLSz-8R>s&IwU_S7pv^=vX-C zlBb(fvftsO8358@C*o?aSvm3!--20{yF95uTS@hk$n#hAd&@f1OZHEl4CN+$-7zTrk@{(XUuL<$r zN`eh32j0szi-M9*IBNpT93v0Tg2qF}u}(w3djy|jxO6f8oe?~NmV$nxQ{)^sr8}vC z1^10(vy-Jgxoz#7d4ZtjIAHB9NheNam{ku);qN>P0p~3Q3rAXgc+*XO_rz#Sn=r4# zBrF+fxeiGY__(kW@?cbol7;9fYvm?*#IxXyjk&$Uvkw%JC&Abx!7S}OKV>jexH2;i z(uA|%(-G^l63taowLK7y@V-h)NOW$|zCAs28gG1}i!O%jF-Y5%t!19e_cB_oe<#H` zlUaCO$o{-^JWsOn8Xcdm&74{BXBADV~tp@MW`N^c&UG4RE zzqxK2FZo8GKUO2N&a~VVC~L9c(Wb9iyd_7Lb5R7 zphLx`awr=G&ToAG+s%S*e|5I#!5_x~>h;3LN5;fk8WXEQhCmb-FWVhU&^6IFNxs<`^gSR$_Rt~3cH zknp?&Q-W@)4?YfLe^3pk#0NtkexOV7#yh;kR}kFZM@p)G;C0qKS^b}4bpB46w(jD7q+EVkvBOSct^e&0yOK)|UAQ$!6sjopc=2A{#AJmh)#=3;Ek~Lgf%2lWyG;Nd-PBleo$qv% z5~K;DoGS!`{8_S5Ie72#NgW#c1?SrHGtk{Bt5kaiUPos%H^#DMlxVrOWk>IL;kPlm zd1#7Uac50QwGg;LLr-2aqaO_U#O|B>Ic#LZPU2nm@(xhdJ`db4O?-I!@+J_?tU`6p z6@#iuW|8hP{7w7sWJ|C*AX_9Lpf=aeTphSlx7b&BL$?<12&J z%!;Aosyldz=$N+m&CLlUUe|sgDKKm@-T=~6`|+e9XC08|u)JUDRM1_KJDuMFM6U7J z4Q((K7%RI)MUa|VvMJ^M(*hxCwhb&1uoZ=@xtI`IX~=qhrlp;!skOv>YC6=$Ff4@g zIb-nj>nGHzn#dC(J6vZs{@&exlXu~QgiC3|B|V^xy8jpz09p~~-#6VzdO$sO;hum` zo7%MwytS@js=if*-IkCC)PmrDR&shUdF%VhsUNbk%y!7O8BdpIeyH(YpZx&jW6M`= zGk7>`*jyh?i-@BRz865LyxRUoig0kId_Uv&o4>h$J8j-h1^AI0yPrNbHje}6&9Iio z5sIMa-~C=|-{&HcKdb2bPQN~9yJ7z^#KT)es&D!Qkg7!MUWyGV=m9tpv~IG+je*qW z9^E>f{aIUj|My9YcqvIp13!yCk&K85FXe#A0RK$k=3&>td=F5W@|JegaA}WauJp_e z%cgl>=H;`OnVVid&CxIA!9sGrGM)LJ;zds8O*9dZEWQc-DYhCBiE=O* zZsK;SKRVzwSNilc*8P&}rj7kPY}LP7;cch{fUo@jQ8bVRkf@~M+(~d}D0xQ$a=V?M zG(*K!-|3;$)oc6$70ep)y<=So8DNA;>u`%4N=4+v#ji6Op1P+Ni#&dq;$m*82q*<6*clenvWgd%{2$3fntBdfYNfz_Q7@4BJN>M z@BGBlO{`0vfS!k~=~Cd>&q`%`US#6cTTs^)A`=!|i$GAjP60Sz=ajsRP1(ieL0zwKnPzdNo}>>9?LWZW`BkdpQsGbI zN!78F8T6{A-@9L(o>$chnt7554ljbZ{`8PBIL4O-?vC(gG#^8M+^r*Wa(q=!41ibr zFP?`#)4EVP4(c|a`l^3Mf$?evpdOKanX`*GPaVC#VC2R)cd|#MRP~I{fp2aCYx6GV zU5XGtCRH&LcE0*%m~r&>--Xs(Iz90|s)G~TQ6Vj)vTl#X#B0Ady=z;Qe5KZ!@8CEl z9F&+E(w&Oa4!A#UvZd1)#b4U%%P6va?u!{}i4~@6Q zZfy5+f9aZ(X#GChubF>CbbfN~Q319s=7WT5?}&BLO^7;DH_0D9PysHJ>q)@N92&Q8 zF-c&xu9YE@lMIp#bf(w$2%jB!)h0eUIpjz;8uQSaSW7aB3~n4g(G54g3f=p9d_0)d zyE|xJkxUF^G>TJY%0}NcJL0EXa{ArM-F;fVspn!{wFZI`b!vZ;(78sW_WD&iP>4hy zA}bl(4IdLfti`6bwD{zB_j}J6DZAtB1hB%)-4bwuFmpB()+H}&RoMkk^nl(8eoE+> zDz(;PQWARu!-bjdh*cduamC&-Y-cFcZ}q)hee>oT6*#?SuB;L~uvL%rjgWH)QV0#{ z<|yP?TJf<;@;O%^DoMCla7}U>As^nCSCE-o&D@|98-lRWNE~~Ou$f)H(bt!DfBw_Nq;Gh)x9nvx%b>3UWHX5l zvY865yso%AMIvwvL%OJKbFr?XmlI1!HQ-W$G>~rHle-^2;PiTEz4PqKNK2KS^8V=k z)}x^`cvP6Fk*A3ryhJM3Jj<@#(7uWRK{8Cr-_dg8I3vn6Znz|N>(p#Zg(Y5&@{pCP zNc_UtRcmh_@P)aGGD1yNF}KxyvT89ZazOw?_@i1!a=B&kk1**WS;vja~~k{8fkNI z*#4A`MP#ims=7W$PGa1Z>M7J(>xs2&NVR)~c1Wzc;Aja6rNwuTZWtYj^&F2c3=vM* zMUu@#1!r#SLTi%QgnLZqI%1J2EzQxY$?(>JKJUx9BgAdk(TAEI zrD2?x@(2$X=yT@R$MAD|r!FN>v%C{U+G}5-=)l=@bXw4qCtkI_sJyHc`>oio)CBP+bCta&ZuTY} zN698V7x{v1VJ0xX8l-V=ki0bPyE%<-YVh7yU1Vbj0XkC`=x~pK7FP=!Ute*mGN+%8 z(S6PNoGTH?v?hVekY*649Jov)WzJ?>*6%W78(Ez~-wKsC#;poy6VtTMC-kzCNALp? zj%6uqz9?B6_J9h9b1RYI+ciC7dCo70OM63479f|=hsSq&_lKu8Aje0>dkJ>m?jr+E zBWSe=6^t(JUVkE$4Dp||nqv1X$D3BzIk46uxj1;Rj%~lOifm)ISh+@($BwETI(Ir! zISn%z*y;Utd5wph%Tr?1wMLGgnY`B6CY861Fe2%76O0()9B$c}I4kI_jjH0WmvDGI zE~_NV75>;{KX+U!@8FSmfBW_;lqPuKm2$G41O#)oz(e|*xU|IEIG$#7{>M!8H*qT3 zZ40;4h={IqIZ8+V(mFbGmJQCuOKqvZf0HniBp)ESh4)*x@*DPF}6enD~_zvqy!2# z`%os$vU2n(sr8hZ)uSp`y8r?;)(@FaIhb>GcCf*nyAE1oQR)C9)kMp4+^gL0W@;Zw zQ%CILqm^FOZM#G(T?k)e(|I_3c}o~}BO!oxbu0y8_1*ffpCy(>k?F{yw9kM*e8XRtraOyR1usVj~ZQ2nJC~Dr$4||3=w%7hZZG>gzOj|Ql zXMWn5Zr!^(9*J=yY%Im%+0;21HtSTg1fDxtVAB#D#jqx_GQ8i2*hOQ(XZ5TPHj@RIb#6IRqpWo1063A@M;TXkE@Dx(AMYrGmI~)69E%vRB-t zN!6Oz4WlK!%*qTmcYNC<$Gz^iNY)#_7B&WcXCC-T;yleOff7e@GYHx?SxE6ARF0tW zb5`Y~dbCxOD3OUDt|OZeI%#(u%SNazaCj(wHYS7JN;_r7pjhF8!TwIZBYg4frlvvJ zo|GUF=pM*2=?v*cSVJ1nfv817P(j$0sZG#W1aDEUg|3q$P6lpq{Hl4_zQ4%?D zMEfbZ$GtvZG3|lrYK?v@zdF7OOiOG|K6G+q#GU_rMWW$JPU-xzD<2K|2$qx)5cVS^&yiTAp6xK*^BHtog1=kwBH0rTk+0npyAWEl%~SEv1>AgWWnAOBHW}5rYNij$r({H&x_U?@ghrBTJAJcPJ`2 zA0iSrbc!BIV?N;qF|`_?uc2XCPl%1#!$ajp#4Vi2i#@~$B@Koc71HSd&11g zXCLGUmGVuM4cMKZ2%5;?YZQ)K?5NnPfslf|^QYhkx9W^=b#$>zhX&i}k0Y|{v)OCt zypT`rKnBgOgO&wndv?R&_;EW;Gva&~8vV?-rq+H3mh(X(4P)zAQ%BDxz__sl`6xN= zy;-zs7TZM@&cpedNKYSuAXXSt+h$&xR6*ptKl!@YbQT^|uTnf`L=S)SfYncLwsjA9 zNv&8N%d*UO3&gX6MN(N`CW|qH=WuJPfsM22Qd?_8+zY7&zhMDqvA(O0e*Yxmzj{x!*5}= zJqu=QjiI||zR*r#c(I(R4Iia_gaCe{njB_jOf~p-4K~Z@vckm0TZ2ChR?AVMTQ%}L zzS6n}k?}mV)`G@U%gjpb$F&G1kf1s#jP4M}1+7aS4rq5x@_?!%$vVEK(7E1{_BhA! z>;??(`ULqT%Xwnb6>LCv+{~;*VDPD|NoA`Xx(hUh(;pe&(#7SIurBcr-fN*fg);S; zfgm|8w7WzqO`8bp^kYM?GxM#Z?xQJeL$)Td0DwYI=$w1`pd7&zIY0Q(wce`*L)?dD zetW6)u5n}w2+Lq06m~Tksjbs5C1FFyuOA^^=^n<5!_8Pd#94}$Ch7wZMiua26vYGSRK_;7r6U^^HQBS>t3a%SHMZ-?F6K%XIO>AcNk#r>j&z9G4L{hxsSzkznr{K1)P`FK0Uc^NFackBjk@1H? zd)%O6BG;~*>Hxu$TwT>0kpoZU-Ja3UK>U3Xlba!Cco4Q5_L+671y*3`Mj|>Bo}`oL zvMQy8J406kX4xn?SC_%c?cP3s5-IaeK}T+M7B8#gd4RAsWy?O877_o5&d^ zkPge>49h=1K*IyR3_l>5`IQ;CaIKqg33KVUn)`EuhK7{~eEpcA)JimdGhK15`yr8u z;2lMO4afvd!}?yl&iK`eI$uoit%i3H{|xVxOdG|8h%i-BY3o8K+4+cd;M?Nmo5X=n zTs2MUNt1eGgX&{BS6vQp+2ml%vq*Dzx0~M^s-_J7YwB=>i7ldL{xOGmuZI0C03=8{TI>Nw zE`|ee42Sl3*st8T{C$xxY2IjRT!`M|fqdz#z_nqXDZqIpjN1SKmK&CTSF*W=-Jc zO)NH9t?nZd!2H=z(Jpv=<-|}<=@i>`w-ezSRR;PPN2$wACB}d2E^KSJPMa(tK6h>| zL%3k5SkbT2PRMIR@dlNilxve|%}`E8L#=vXhv&vTR!aNd!128}TUSMMiz-fb7QVA~ zMS89SyUt7@KZLpAU`2bZHH;U74)F`F;~#tNxH&2Wv3>`p{czH5K3aZG;BlP|;?z?O zNpp@{lk|3&l(RG%6EnjGl7`t|hJ&W|AETm&6YU9=iLI59wbITG!2g|&eW=i%MS#g) zej2NHehn(1hi0J?OsTHs4mp#mM4*W1va~~C0>1bOkZvvdiAM#lZi1gybl_uXngLb- zWPz0eU~g`(=t$_g4uWRcu?T~Zs>3jy*}R5O9hDL`Yd<>ICEB-F%6C?BpKSfKLd3HwW6uu0!_6>xg|OI%$NF02 zxz`Xq$jSpCX|yy2nd_Nm^_()3@-g+CHvfqhGi}wLxo2qO8t$+qhU`yzZjh?u;Z;+A z=`-yxu!W~3f3t-xV4D(QBYqYR)+y_s`+YkU$0@w#&f-hDcB zJ5RRq1ICH2erfdBq4zcr@2V-ZKdU7;cxs!ZRTX3Nb>Hj=*xxZtn%cl^kGX!GIA`t7 zM>_xzi>TyT(e#>xJB9$GBwsxzhAJ0qtu3F~OLGGLMd#K)V$1@g(F+h+LfvPGORuHv z+BcdQC^6F?x!2@!jKo05DgT?>HY5l$!?u}qbP91s@W^OiBJFpT_d)D#XjBgJWlwwj zI3P8Q{ctq#7COE=HU*FK?P8Pz_>FY$39xOPcX_HAd{L-6T%xyUy>pmIHOG7TF0}NM zry-Q;&(iK?g8FOnkg`(Ur`wjN=eDIBg$ceDP0zh>(s{EYSiGVF=4RD#q1M5t+jK|s z+iH`-igxj@6-`I4RoZMW`s@h*)|Qh43t8Nt0|1p=ecc>?Xo`O>hdji>;8~%=L5E}0 zx9zznP%jvz_C`{@5x&Ryu-x(Uxji{WFP3xi(G?dFb(lzFbm#O6>p05o)eqnjI(<{5 zQ}V3F-(3^f1J%vFevFzo7bDd2fZkv2`Xzc#KPOJrbkpa2desDJoM&vUV@=huY#f2`a+}h>ZHgsX#ir zMb+Jh<$XuRerr5;zB~D`nbuzRT!(xzJZQd}q?!v=+Qh}!XP1t=ub6C7>%~Lj2R9Zo zZKa1pdX72Q68;kZ|4qBjyz)1@=Di=mU7z#jsXpF`(f}O3j%D4AbCi)#HFELmU|*0f zb*2PH3%MrA-|19ZEq#L*h{=ZrB4i)TjS(t+wI;IV4P`1Dk7*ow|HYkW3ZB2l6zl-G zS90a+C5{Kx_8B+Ggn}D*)ro7GXPl^GVXa;~=>ufz>f>F4hudLLl+tp~)2J3WR7Z!E z5osEV8(@G;t1Gd4jKi!5nl*t3GR2xTy4?xvZI;`B$B{t&ElZIE-djH@3uLCi)u7j! z+t)HN@c<9g)PY^AmV_YUtXppgitZ9@z0`16iI2ALq(=4~m@TklTgDTF+J@1z1ik47 zV_qKWo$H0OthGpgK(Fo%p#U%n{F4VD-cNM^I02HuX89pi~dfcK9&_PB-#w?+Q4iJI& z{50N7Inn!K7h;@}DrWD^@_wgcueyL+EUevhI%#c^rNCNu{pYm#uThFVCd^b7XO0Yu z!CiVAJbK+8vnT9V9VkEwE|XM%DI|x0M;HDYN8#5ESXTceU}~r zn(C%f^FC4V^z(OkPZxRnYY+s%HHmAqzt;8{eWc*?Ivz}eeJotVFDNS6v8yknhkPOL z*929J#>>ETe-G??C!z;uO23X}X?10V28gJ6x6iHO1JA!I&C6aCe$jrnVNU4wRzeV| zO`PU=O2soe8$*ZWf0n)m1Q23Wq{I^d;G!w(!Rs#{_2owa!i!&-&@8%U-8b>5x6Ahg zodB?CJO1PH+W;0FNh1vG1F-0+o;ZL-SNLch)sjXq?EPp{*Ujc=ki%N)rpiSKGcz2` z=L@8HDN&D%lm5XM{^X|0Zmuu~1h`CpDAvCuf@)_id97;N3G90a>?j}bF;;&;`2Po} zrbY?Mx7Z8tFAz@b6h>WfQ)6X`ORwADyk&mG#v2MEaaA9S)PNK|pREGv{mfs|`wyK( z8vG6*W&Fg%V6#9n59%?3fJw>aMVB%V*T1}YuLwK8IY};H-InF)=tud^s)AzxC60fp zX?@uQgVI+1jL=T*&A1V9=M*Hkcd8T!ifSJz@DM_Az zUIvOf73zfSYG~*I`+op>6hLdK?JDQF7C$OZm;V*9u{o>R zw&&ueYp+bgLU>+X;TG`f(4)Ts^H`-+l)uyJJB^m+lj6A%VV)bgw`5TNy_9vyp#B|B zV#%PEBGiB3fR+qu$)NrVLA4a2{vA?v$)J`DYRRDLCH_q|^Kbmml0hvQ)RI9h8PpOf zu|!JzpAeW!EZ_G&{Qt*UzC0#g;t|2cO%OB;+?ak-!Qz(IrdCZTg4PVhiR=dM(&G_&T|6Kj=<7c>C4f<&tMX;{er$D)p`IjxJ(q>z;g2gn#tL zwB#^M04s8nN6T(m;gm#1pZP)eX&NqY`K?>~WR-k|j;K|Ghk?T+@!-Bx!B$JS07?~< z6B1VO3%&=Z8fv068YDsgs^qKpO0e^)e6(h-CIgs2kh~6E`Zp`st>zDXsn(l8SE7I( zk8G9_Qfs8wzWPz%lT}(kN@JiwKB|0X^Ro-!eZVmwvyiIw{9~DEsH#|@)=O{^K7L-~ z>?I?NqbMKky6Blp(h7OtYzwJuR51yKi%bYuet%bzp7Z5vE!m(nLPh~SEA<71UqX zn54F^lw=|5lthR9Sq>4Wvh!WO6V5yimG`oM9{z`aRLy~v)o5xeqQpTjLD!ym+5O;` z8bX{zd0$C41LDI+e=jcm{73%${XMu4VdivQo#FXvP`1!GKJtDg`0@P;8y2?q)oQS0 z$ky-t>OWi3!mr(jB%y)>z4l9YZ?-GCAm^#*>m_35WO0>B%}FKc_q^PlGN zzy%EfS5Y2^&ivQwngPzQb_6CUs0BRh*zuvad_b=xLR<_NJuCbAj@MAz`r5XiIp-fQ z3G)YUG32Gd&mRwtMEay&-@NFIt3>%{(|E07KmOmJ{qbQZaC$0fZ$wwB051p*0{)Q3 zF6Hz8!&f@I7*|kIf)j89n1;~ex_-6_g@S4E<;BNcy%+ZsH3W1GO}VbVxUQcr$J`F+8e`+V z5SSy7Xncl^;i3Td+yomd`XO~uIzL}dK0u@mpPcvbSFdm~-vIi%e+aI2c}L>zks+7G zb^UZXNtHm?W)4d7q3VG|wN8~iTwL*aL9nq>4^0>F=#L-%M}J&i+XzdHX*n-=cP#*X z;PloH{fb?kx8y{W7xnA^x)b@X1xt=&$#E<>j=#j3CG_#v5c7}F$CBe%LL3Y5WC?Nn z6#y+cjwQ$OmpJlwfPE=dSxh9B9LHh;@IyhC9LJL5`2WjspxOY*;o<#*8(aohIlI7b zQ}s_25BxU>!j96A;kyytWsj>y0Rv>9toh@Is-(dO=&I{GeoApH@+#&>0plX&`&hc; z3E(^;%F4nQJ=FP)fZZBAfVs2y!Qxl`H^T+%0AKxg*U`rnc-eb4?%vk7PRqc5M#s#K K<{UnM^M3(fQXsMb literal 0 HcmV?d00001 diff --git a/soc/ws2812_timing.png b/soc/ws2812_timing.png new file mode 100644 index 0000000000000000000000000000000000000000..728d9d4ed7006ec8ebf94760a1c46243219653d4 GIT binary patch literal 12296 zcmcJ#1yGjJ+wS`y3P?*yhe(Jt(j_h3T`Jw(se~Xc-Q6W1T@n(~oiE+p9cTIf&Y834 zJ7@OHp4t1EcTnbicw()4-Rr)7*Bz`NCxM1chzvmxn&byjB?y8u1^+)mdIEkPqV-^d zf8ZU2B~_4+kmi=6~v5)?cPXePm4}XWkr4t*Wg> z^aV!%%1dNx2_%Y7KX7!$9C`SusRLb8A|n-4RjKN{DE$2;g>6lUF~|h&ZqDEC7Utz` z_`<cQkS%eys4_nWzr^jfZJi>b2mvIX+Kw%J)8PfwJufo)$f^XKPNViyFksb$Q^ zYD#l*3|&ooZkz1W z2T4gYGc$PKni@F=8MNO^?+ePd*@y{C$K(|4`nj|x#}28eyb&+^di%7|s*G{biHv14 zKRml4qhn&)oK6vrdIAv;5C}MFPJ^ks!QkfRh8LmKGuP5UHRNw`IZXfiR|*YH_D!Ka z+2i`!dbQK9!ts;F$N6O+2{B|pcnSg6n>7~5aep>8TSrs#8T8`^mgq2zQ2WyRAPjp4 z2e=FoM5FK%=Y1SFawbmor(RgE!Terj*?uv z@*c)cS5#~Py_b}fywb&@{r4BX_%K=~rd3)dhI@4AFCE>ht!VO3w&b0NU0u{rTRK#KeT?RzZ>T&Xj&hD;DGjpNXJ}R#ii2-0Ydl8F8*% zZN9!=g$LoIA_NE*)`qXr(?HYp7EG-?Z?LWupHVzm(6VcxqK0>Q-JC_WVJ;q9`TNVi zYdb#cHK6GxkPBzq%afU3X^b-P{2;ToW{CKVl$34M*#CT(Owc>1ftO(*w(n)%-=hNL z$=r~aPsb~fzOJ72Vxv4JHFm;IRX(_O&Y*D5%s4AkfZgN=-nQ~&<`3r8KeJ6Ym=z4A<-&~j2jb)a~azz*au?Vu<7t5JdBqpI` zymZXJ<;P)WJUppjVtAZRt`gbylJ9CO{t%U%etWR(n5BS~hNb%Fwzb*4(0k3wDxlNoPQ}U>W8gH8m-jPc$<}vq8ETEn z7MHJ1<`_5EXr6e8%%&iBtFMQ9`1a%uLvs!_o$rrzXOE(+g+xJ{P|1(8)<>r$u1A^; zbZRQ9A67prD^J#f4TjSAq9S9i;$m}W3&*|&gdl378<&gZj}4^=T!YDf^QI8vaIw~E z&UrMZ5S@)ASd5JO-~3;Z^!M~AD4FXkMB1>}VrGB2ev+7&{`CpQ=EjDhk&zeEn+jq+ z!|h63-5q-9oVTdBxT8b(RY!+jv(&26{#bW}g_mpdh_3`0o50!LL~^UuBuq?%30Cj5 zJGie+n55Mj#CU^jnmMQ2UzJJ* zY1>x#^wx{RxmBMn-?+GobM42U@#(xo%d5>wdM)RDzc`y})s&R@=0=3n)oo7WtU&6` zU2Mf5HI&O%B|hWjGe5)6LNCqwd_j*WCMMSEOIcZ2iHcxwxyT!iKvsdzMZ2~2TvJo? z0zp|xZ_qAneQm9BdO$=;sj{KLy)geab&eB`obfo|hmwcd0GN3v3-#M)#3RGQPknc% z%IMD>)m2m)T(7m%*{i9^$i8xUJ~MoLfL|ecNW`W_4tTY3D!MyS{s=L){`%ZE&=JyY zV~@SFWGcy0A%(SRODXo_2^1T<7Ko)re@ge}&BD)KbuBGIX!Z@A78+5PA*-^oe&x=U z^}^LFZnOKq0a0-U+8EX1sf46NL5~~5p|aGy(%85-#yg9$xVW0+Z!aroTS7zo17%yB z1dE1E-(l2>Ss$c{nnS<2=AOH|-%wHg0TJ|}`Po4ngFbuzY+wW$2QLqg*T#VU2fi*4 zV!Dk*rMk6IduqaH=meSs1vYV?oMh1;TDl(^RNDsPT^)#*VWFWjno!;R_xYX6i>s+==VOGawcTo)#za;0MlG!hNyy)QX=MhF<-EV(a^7V%i8v&9ZHg4+ zK9rX1S-aqKHr{K5K4TTY(=}2ZLinyp>IZV7>W|S;CFs3SCYlFDfbjNsA=|E*z5Odc zA(}}?0~1rjr;w_uDj6H=&CU*1z=+}{bnnVk*ec?gcM{MB35S0xK=NF=EO4mz8%DSWvLrCK{9n32^23sEmVIrUZl`jx7q zv%i<@Jrttg*U{7Y6tb_Zvaqn2X{CI7JI4tzv(wl~2FRH}@5MXdEg=Oxxo5sjO?=@5 z5+x(Z0UXFB?M-)l(9(KLWY5^-aao@CuSmf zj!I6twY3F7enRqY*XbVEZ_VC8U`Ls)-Yx&q|5*J<24Sj))KdyvrSRA_<>dvg=4Q90 z=wEc}-``+xVR_!QuUm9TgyL+4`-h&l`NxlcG%EzDJ2X@lofx^!alPv>9|7rcaAf^$ z6Wr3p08z;gFz@k9`qQXnpFWR9Tsotop*0p2vLwo+PrMC^-G=k+H6-OF9GALSRK!RX z@Cp_~lS}0rY_ZbPqJ#SFQoFqgg*_b>b5S;T?~y~3cJv8YK6la*5G0tpEu|D z)0UK$!fH+8ZCemk^cTs=nXhgJe7eGknEYYSRmNWV=}PkuKKX2Jj)#fwd2yG^Vjy{E zW&KontLDaLS|X}m z;pYpeMI|MBjZWVVCrNN|RWxVRbS?@?vOpXfI$zYvlL^+Cjpdb>F$TPv07=ISzM~*ur<<;(|CL;f;K7|1m88(WBXh+3ei(OV!kR+DJXd?Wcz(W~d#o3tOogL|P z9c!NK#xq?W+(#!wDkus)gPuQs4hn2G2b9moTE-jsTLm7^kL_Y(V|!Dbd3nQ>2l zULyBy=6$V&Pt2fZEjM_yObO%TQ&r(nqK%0lAfWuF4fi{2&V`-58Xr|$&e>UE_|xZl zE9ZRqwCQGx?h5SuTMT9+X8Z$byjj^qOhWGqpU2(bMcI?3$$csH@Q9k>B<#dcip~aR z$QhRVnL_$1Pq}{UgEDm(qVF{dUZwS>7z2D6_w48Ua=()}D_$O_9i2l7VdQ{ORavX5 z!y3Kjchh~6rN43t3&q}*mD?y>sxnxStOzxiXBngUW&f#6=19H2m=EOQ8vN|@*DE)- zAsM@k;*F*}hot1aw#H#`fo8{&X+{5l+f9}MO30UzZXGqPCqiYOAxR{t=w~R4>RQ4K zxCn?hRGdsNAs)xA---)my1ZA{xJ5{Cda6QuNll}p2d%YL8+ciQaNxY$-nR@4`t|N9 z44cGk2!3UyBhiaqqMzXei!$`D>PE55XPCK$nfBf?RK#a2VOY6&W(x9|Vb$O zajHy@S`XIju^C^kkAPO$Ia9$9XsQN@=mZTVskgR{jvgA2pm8p!2@j((AK@F%@}pra4gAwAw~>izHDOIyrn z5JE!IF@82a@k$@sBNp;#Cj6}^>=_Fd(JAqNzS1D`6Y)$;N=i;Tz99?lX^iOI#aVB#fdsG1c4e=N1x!{+SvgJ6 zD=89FB7Y3-y|A#bwuFRi>FpT-dh6mTH4xlEg5Qx*JkAs@VU?xoHfTVNL(F6!bu={U zyjM3gt5V^B+`YP~E}pBg%v0){@muwF92a!4)^BnAB9|}klAvj`3W_W6NiB2M+Sx{j zikrMP2KC89T_&J^+SgA@2<9`~Djm;=rV8q6L5ms(XJ?M;lvzLmG}c7|wSbzl9?KgD zUX`dn%(BtvUlDqQ%k$iJh+f7=6ux8Wu}1=1ZX%yiQA!h^M{rKgYpB3!cXF!+=MD1l zdvWnRNv|~`zE$uZ{R0fHg?X8onl|+~x!m`xD+K?OIWG|V#EdUIjRN9=K|)T>*-$hA z(;0~P$c*tx)-QWa>}9bQK54R;3{x{P^=2JBM9D8+J5Q-(0cMkq)bo*9z^fnAiAkYK zGe{dNEKO^IBRLr>{g+g4NowfD#7Z^XHv1j@SP`h^3%QuFcGL;JHNO(Cax($Oi zjM)#c;r_H0|N7Mz&!p4pE+$!cKfrq_3L_a$!NI|quX8>>fbpUtkU)be0?(m9@h-KF zlHJnB6R{JJl7r13=j*FYrUn6Hiu3#Sb4pTH*6rd!~6B(Bb(P^3Tn-lFZ5w__xR|GlD7k3#W2ugi<7&_8$q!WD$Z{BA|51-$Li4knah zuxAxjCYOWXk*zoHa)!6h{01EAe}XCzgKYk#XkR-z`U1_9?>ewtwa$THgEshq8{K+O z#c`4sykFoRL>LC-iBUjBM@L78PCA{RJrm8;j1>%O1Y(ov#rsWkpJd#DCDW<|Bw7jz ziXF!B@~=|-bw1@4Uk++YTR{1ebr7Ba6vRx;gv*+3abG;+yHBTwi>+jQKZo9{H$J16 zB_txMGHv6#EsVBas2eQjNN!`Y6*;kYz(Bn6>hJmZBYdV zfrMXyin*fVCu=$D)(3bz2It+0Mwe!^mJf0~SojK>nr~=m)D;vyeq#BVscT~Fjf~8f zlAH|IASlo}?T;dJ`_vq_XJdyBe}*^B&u6zuvy6>oat8hlb+zH38L#$LLJN zXGqJGjDxfG?OQ;NZ_`p_N=!mZ3_h{r^voZp_Rikc87&92@Rz-k4!Wu8Znu{6P0ye- zKJT@awW}rX@rm79&2+yq{l=9lcU6TWJFpW&u9u8n3&fkxYqBw}5hqC&!$FSNY21$V zS&Gb5gCf)8IUU6B7r*1YB&xFBHwU7yvmF^AI?bK!2O#%D;>(>>m9d9!?~fktd$GIy z9c<+Lq9ZX6cUV2Hf}&7f)^Bg`a;ogl)t2-e{p^7AZr@9e%+1YX)ZZcSd_COzXJXJ> zD|*f>j?*_bKAs7vv%L&foXvmc z#?*On`&$&=2Z{V4{??0)`OI1I(VV@RXD1F==^8a*BgKp$fe{+1=Tb)%`E35 zyhN1Q9AsrnT@FV(Iz;%J<0}4M?mZ;8eGDaLPin3VH0FQHE(UAV*3fX>--*2)87UD4 z5Cve5cZ7?9$Is2o-egkbTf>^#nzg*W)3^+!JUw-QS{8+cbcu=kONje3Y1AdSYN@Ey zxsBbh<;&SG?x!6X^EM=iBtlz`UF$;rb;K!R)6>@Tf)Yx@R%717jmYs;eQ zlt+3&Oh-oo<8K0J{#%MbFO@)`=G?n(rfU7&)d4Cl@d$$5y%lrI(eg}WoghcsUxm^0 zU7;irnF`1!r<^uA@-m1JL>RWwY)|m^g)w(M^c!S&Hi5g%-?_QDw8aIX>0D8&qjHKP z(?D8(^?R~gW~893-0|Sp8KlU{%DTO66HkA$>mm7EQA1n1(PpSjd`K;+t7qD9D($}Z zKs0!0@pL0dIFsJ))b;3!JBpC_*RNk*wFh-X_X;Zdq11+}G%|qT+z7RH$V#JOs@fj0 zzK9GVJ)E^1|NB*=i*K6{*+kZ85e{ zT2z$kI-jGwIhgEK`=^tJb6LxeD|vazb<_GRJhid9+UaVTxGM22J$+B#z`EWyx$?pc zB{wq${`-CKR*S73-G;1veSKKi*ya`%L`6DUT1lz*rGR6od?Ug=y>qj1ss^%}WhmXD z-0jXZGN7=xpWxzd|2@jEwyxC%>MczKnO*sqw(dR<(>gmbv9bHJ_01#ZpDhMUX?=;7cQTAfM}bz!{)DetSyq+-d{*psCy@c$)u97GRqg#MA)mcn z>gEa$hDrBiwaX*L+%dLyE6KBG0qbv@`J3X{$A~&`W@-7n@%nCO@D7u_Y%>f(5L zV%~<2BR}kFR=M@SNbXU_ug$)cnh@6wtB)4|R|9SN6FRQn2-Vl%yR(hvKWc^U`T#<$f3Jcxh(^`y9 z1KS@N(|ZkBK|Yvoyd8?}jvzGGR8yO@i&IurjSZVvnx7};=f4MGkdyOp-xeJmpUys4 zpY_$8-BrQPE-F~EH7vPSi5BNei{{yF()w%8PW}JUItz}C;{xw}IWd8T$|IgXHq!@| zhcOpd8W--Ux_bOABf!rd7kkek9jn_0i+=FDWT0Uou}Xu@h;{e~?0!$9|yy zkFEJ1O$5Z$Yn56Xm}gXqPVL?4rcCDLGj=hNj&M0PZ9 z6`}v8vWg@afE$yL6K}ezmad^2rm5{iB5pNy5hM!l2S4F=wUBsHc~VqFr?;crR|vh& zRjRK}j7Nb=CZ=~_26l=sX3Abg{Vt~454t`;W(0vraY>1e z%{_0e4K)?DfcG5|gnml~O23L4g29^_I;a4I01-i2Ou~Em2X{f9zaH!M@bDs&d(#e^ zuM7-6+uK*dEp3GD0u~Y`D`V?ik`VnnTEq^U2zzzwBL?&zV8f-(Eqa$VQbh#Sxw*2B zRdZa0?2Y_pLqC(?b^NH`ck69N@p}nVBF}gOs4!vRpaqdAv#}|&>4>W=F{k<dLGXK{EuB_BJ$@`Sg2A?$|{`u9@*k_v`@5hg?D8HEyuk?(xE&RvNe(!_1 zBEiUU!5wkV^55jNU-k#`SCs9}hqJxX12jV`AR?c^$U601yS_ZlD){+R0^^*GVtME@ z)(WOr3}83;D--mql1daZ^dC6vIm=lgI4J%5jScHVDFq_}2@dG~e(# z5D41mPpiK+JZAWSHA+~Nku&Z+X}P(#XKBt|?RES3yvk-iRlu$N_wev|zYfq27XR-1 zIdUD7v9OI57$)3qZWL$VBnZd^K;?q%#FPYwivAjNV*{^AWo&qOZ#WZvcl+|kkG(w` z5O{I_Dx3?;W_=s&{gkxB#M_YJk0$NdgRF~6jQ{;FqLy?hkBv7wVJu}y>MBp_x`8>*riyP0^9fKNXZEX!A zrx392z9`MjU4;91+I0?K=JtHTrLH#CHhd?hK z%l@0NWV=v5-n)XYqVk$zf9#Ji`zSU-d>V113PL3 zbXZKT6*>c9I#+vxMFko%xVg8*?3Jvn7!+Au9-aW7YLXF93+Mg$hs&~N(6Io$&-_rT zvCTk1aSWh-*LiWfdo}}NnjN_v1q0PH2p$prN6g*OV%68XyW0^(pkMo%_%s3*TjNc4 z&)^^}v4E7Oe@_l-L{NQ4MMqbeR?Gn<1gx;PYNf^#fr`LmF`S=rl( zp1E33k$GH@EZL+#Y5?;&xN&F490ECgT~Ag!e<)1*ac`kfUt4<l}fpDZPU=3AU7cX!pp72rF1GwOH0EdLUI*ysAW<-&%5@$ z5bppeAQg0XUA?LHAyV8$fZBw<5HsMse5u=HtG9HR`KN8^y|9vY-%|*%erFLtX&D&y zi;9Z42dh9Zn8ZrJ8gCi-Q-w1jC|a{|_mD)i-rv8IYwlQ2S{;Hc?%JL2o zHFtdtMi`5*&cfn$v5~|?=JsrR^{OHp_$FXuHuApF(9!X{o<&njUCZg@Z*+EN)RhyJ z3$9!b4M9R!7-%a?CE+L87mJOTuV25;$<4*ZnRVPA{WIP_KmYk-bGGKE zOcQ}7pL9@-_UVO%$^PrNN#htxj42--Wz=u6z2Cv5%Dvz`oX2)@=~MxWDa=CsaG_ZQ zl{1AKY)PPkC=JxC+5xVAT39F&8aEoGUaq4C_?FoT&PvvEpiCcE)-8BzY~1d)6ooyB z175KkZYezeCI?p)EdH>yOZ576r+n%=z5h6Xia=~!v>Q!Y9fD>E5JAIZQ-a>~Tuhvo z7p2K0s;cqjM`*7bCv0AsGP!h~5p7-It;_<;mW@rY z+3lW=el4`VP!d#sz1UU`4)!}RknKXrIE%nZ#G9Jemi7+z3r*hZ>&qM-8;%z@58u#b zQu&q#cGdrNTm)B0F;Z1gu`N{A)9d=kT&L1A1dcw7tqBl-ApOp3n=%3e zd#!@{n9}9rqaNulCu>*cSU%J7@18n+uuy+F-Sj7Hge*_JAB+T>Ds9BeY{0bJGI z*-kq-p<2|oUfcShNb7_$(cnaRa4IV$1KP!;@Asu9t0;uDwA?2xO)h>I^F(7oqONqe zTg&jE*8Z^cUr)y1CA&jz0Q1%mG%XX&0>>)^SdCa zTs&Odjg7U7UBQndpKJA+J*MWCLe_F9zrcZ91jYvJAb5E2M6*u7)5-YVQD4suFbddj z=n*kA8!W}*%XE|P^uVJ7Xv9bx4 z>aU(&I~Wh?HKSiWvn|)r*49>472`8z-U63Jyxg}-W2=3`PsPOd&hT(SmqtF|Vq)T% zFGvaqq!&JSTg&UkoV0?1Uv*oZ|CpQ?Wh|{4Z{R^k2f8;++=JMb5@aVBhGZ8sqT>Em z=P<_}LE9dMw@}YeUov<0gN+39Idx5poFuWWHt;Bf}U{2G7o zd*HN&?N65SUX}vo1wbX{qcJZJ*O2`O85vV8iC&;|f7x|tadOJPVuwENacP^GZTHKQ z_4$;I|46(xJ1@eeln7_Nbvwx`EIhwFXa){_+JQ7ck~2gya#Hg@tq10>QG&)HC^npg zKc2C?(E>n%<(i%WSoHY}$cs;b()jUX1$q?8S@=_|Znit=s;!lP@Qk!;{Jkg6>D|oN z>$0(Z>cs}P?BiLdBloTfr#ZRYi+vN`LC6;*<`ek}ImrWbz+lg?2aMgKoAY~|s}!eTq{Ib5%eOMi{WNUnCDEYM?pRkOE` zeV0_=0k=p<#3e5y1IBQ8jFWthwY-gj;>{Zd1}`wFD_1OF&-LWAncizGJs#j9jEekO zl|FbJuk(IXNg2+!8VmKyzBdKr>wm=sy3Jyjrzd|8Z^0VRILq}bq(Jx8%Iash4CVa6 zumhg^eIn=xJaj_>?A-+WM3YLkQX!WDo%PK**>L5fa!;6@k}v4-+^-Jy%Cx`pVt8tBIk?R=d1PB@Ze{LBX_x?hQRXxmr-Bs)}uB z>ExfJf$QY93d?Icx@tbwSM)sJ=d20=FWN=#CLj6RbH!}c;0N9tn5F~-WOXXcEZduF zD?IM~8ewT`W%iMz#O&`_^;$<@~XfOM^{$`Om0PL z?7zrYyPfphe}S(*w~hG5R&4#V8zUWv09O)y$2d>pueF?O^GMzrs+jC<%v{3UTcTav z6t?{B{A~-^NEc(qDypgs35Q9^$;yX;=L?E|e}@ME7LKeX#?W_K_IS8Fa{Dm; zP)&%AZgv|(I8C2YF!>))*HYg9Kk8y^9$-nd|8JnHcgRKM|K?mf?5;h-lx^KZ{$l7= zHD>J_NEKDYd~4IeVyRt!F& zr$=Da+5-(r@eEE(bT=;gn?qtPUZ>8FaDr~vlY3}onzg`B;oyE_*XB6|<^Y6+0;cC0 zGvs+2cm9eyn7v#+*Py$4mqY5q2SAUAKAW)&P0V=pwto^qZ1%qYJLv5my%?34cn$i2 zOosH^uincVSh3wXnsy#Xn|Pm6qb~{YaxiYVedsY;*#^j4Id2+`*ih2bIzB4uSkTij zYkwLT>)>%g2MSC7tT8YVAWZuW6{XKBRVMOqPzri!4&k@`5y(&~3BA}oh3(hd5+B)&#eF%@7ydVOl3pynpTG39ss`6UzZm+GXX z+1Oo9U>(i*(sxbLqSOi7KxM1cUbw0bOJUK zUZ;a5m!UGSF8S-o7?>7kt!ICM?irwflqn6O!G!9!O7Rjex!g_;ELx)7Q;3I=t^$TS zS}xd17;}{x0YC7>uZ@OMi7PZCoTVHnl&L>}yf+>I{3eXG?_5}-6OE0j<7<^(K{4p1oCH|*o`Tk?x!xP_Y U0{Ah|%MVG4$%&Q;fBgD?0rblJ@Bjb+ literal 0 HcmV?d00001 From bf239165f80e18ce54d26e743d5d6cc6d7c78a23 Mon Sep 17 00:00:00 2001 From: Xiretza Date: Sat, 21 Mar 2020 14:32:43 +0100 Subject: [PATCH 11/11] Cite more sources, use figures for graphics --- Diplomschrift.bib | 38 +++++++++++++++++++++++++++++++++++++- core/core.tex | 6 +++++- soc/soc.tex | 19 ++++++++++++------- vhdl_intro/vhdl_intro.tex | 5 +++-- 4 files changed, 57 insertions(+), 11 deletions(-) diff --git a/Diplomschrift.bib b/Diplomschrift.bib index 1232051..ef50ada 100644 --- a/Diplomschrift.bib +++ b/Diplomschrift.bib @@ -11,13 +11,49 @@ year = {2016}, } -@unpublished{yosys, +@unpublished{yosys-paper, author = {Clifford Wolf, Johann Glaser}, title = {Yosys - A Free Verilog Synthesis Suite}, url = {http://www.clifford.at/yosys/files/yosys-austrochip2013.pdf}, year = {2013}, } +@software{yosys, + author = {Various Contributors}, + title = {Yosys - Yosys Open SYnthesis Suite}, + url = {https://github.com/YosysHQ/yosys}, +} + +@software{nextpnr, + author = {Various Contributors}, + title = {nextpnr - a portable FPGA place and route tool}, + url = {https://github.com/YosysHQ/nextpnr}, +} + +@software{nextpnr-xilinx, + author = {David Shah}, + title = {nextpnr-xilinx}, + url = {https://github.com/daveshah1/nextpnr-xilinx}, +} + +@online{prjxray, + author = {SymbiFlow}, + title = {Project X-Ray}, + url = {https://github.com/SymbiFlow/prjxray}, +} + +@software{ghdlsynth-beta, + author = {Tristan Gingold}, + title = {ghdlsynth-beta}, + url = {https://github.com/tgingold/ghdlsynth-beta}, +} + +@software{ghdl, + author = {Tristan Gingold}, + title = {ghdl}, + url = {https://github.com/ghdl/ghdl}, +} + @software{liteeth, author = {Florent Kermarrec}, title = {LiteEth}, diff --git a/core/core.tex b/core/core.tex index 5abc5e6..9aabbe6 100644 --- a/core/core.tex +++ b/core/core.tex @@ -18,7 +18,11 @@ It is constructed according to the traditional RISC pipeline: \section{Overview} -\includegraphics[width=\textwidth]{core_diagram.png} +\begin{figure} +%\includegraphics[width=\textwidth]{core_diagram.png} +% TODO +\caption{Block diagram of the CPU core} +\end{figure} \section{Control} diff --git a/soc/soc.tex b/soc/soc.tex index d201652..9219658 100644 --- a/soc/soc.tex +++ b/soc/soc.tex @@ -52,11 +52,11 @@ Vendor tools are usually free-of-charge for basic usage, but this also means the \subsection{Free Software Tools} -A somewhat recent development is the creation of Free Software\footnotemark FPGA toolchains. A breakthrough was achieved by Claire (formerly Clifford) Wolf in 2013 with yosys\cite{yosys}, a feature-complete Verilog synthesis suite for Lattice's \texttt{iCE40} FPGA series. +A somewhat recent development is the creation of Free Software\footnotemark{} FPGA toolchains. A breakthrough was achieved by Claire (formerly Clifford) Wolf in 2013 with yosys\cite{yosys-paper, yosys}, a feature-complete Verilog synthesis suite for Lattice's \texttt{iCE40} FPGA series. \footnotetext{``Free Software'' refers to software that grants its user the freedom to share, study and modify it - see \url{https://www.fsf.org/about/what-is-free-software}.} -Since then, both yosys and place-and-route tools like nextpnr\footnote{\url{https://github.com/YosysHQ/nextpnr}} have matured, however Lattice's iCE40 and ECP5 remained the only supported FPGA architectures for place-and-route. +Since then, both yosys and place-and-route tools like nextpnr\cite{nextpnr} have matured, however Lattice's iCE40 and ECP5 remained the only supported FPGA architectures for place-and-route. -Thus, two obstacles remained for Free toolchains to be viable for this project: synthesizing from VHDL code and synthesizing to Artix-7 FPGAs. During the development of the project, both of these were solved: Tristan Gingold released \texttt{ghdlsynth-beta}\footnote{\url{https://github.com/tgingold/ghdlsynth-beta}}, a bridge between GHDL and yosys allowing VHDL to be synthesized just the same as Verilog, and Dave Shah added Xilinx support to nextpnr. The latter was preceded by many months of volunteer work reverse-engineering the Xilinx bitstream format as part of \textit{Project X-Ray}\footnote{\url{https://symbiflow.github.io/prjxray-db/}}. +Thus, two obstacles remained for Free toolchains to be viable for this project: synthesizing \emph{from} VHDL code and synthesizing \emph{to} Artix-7 FPGAs. During the development of the project, both of these were solved: Tristan Gingold released ghdlsynth-beta\cite{ghdlsynth-beta}, a bridge between GHDL\cite{ghdl} and yosys allowing VHDL to be synthesized just the same as Verilog, and Dave Shah added Xilinx support to nextpnr\cite{nextpnr-xilinx}. The latter was preceded by many months of volunteer work reverse-engineering the Xilinx bitstream format as part of \textit{Project X-Ray}\cite{prjxray}. With these two pieces in place, the project was switched over to a completely Free toolchain, removing any depencies on vendor tools: @@ -77,7 +77,10 @@ With these two pieces in place, the project was switched over to a completely Fr The graphics submodule consists of a VGA timing generator, a text renderer with a font ROM, and a DVI encoder frontend: +\begin{figure}[h] \includegraphics[width=\textwidth]{graphics.png} +\caption{Block diagram of the video core} +\end{figure} \subsubsection{VGA timing} @@ -87,9 +90,10 @@ In analog screens, the electron beams (one for each primary color red, green and The same applies to vertical timings: after the beam reaches the end of the last line, a few off-screen Front Porch lines follow, then a pulse of the vsync (Vertical Sync) signal sends the beam to the top of the screen, where the first line of the next frame is drawn after several invisible Back Porch lines. -\begin{center} +\begin{figure}[h] \includegraphics[width=\textwidth]{vga_timing.png} -\end{center} +\caption{Diagram of VGA timing intervals} +\end{figure} The VGA timing module generates these hsync and vsync signals, along with a blanking signal (active during any front porch, sync and back porch) and, while in the visible area (i.e. not blanking), the row and column of the current pixel relative to the visible area. @@ -97,9 +101,10 @@ The VGA timing module generates these hsync and vsync signals, along with a blan The text renderer converts a logical representation of a character, such as its ASCII code (henceforth referred to as its \textit{codepoint}) to a visual representation (a \textit{glyph}). This conversion is achieved using a \textit{font}, a mapping of codepoints to glyphs. -\begin{center} +\begin{figure}[h] \includegraphics[width=0.7\textwidth]{text_renderer.png} -\end{center} +\caption{Block diagram of the text renderer} +\end{figure} First, the current pixel coordinate (created by the VGA timing generator) is split up into two parts: the character index, which specifies the on-screen character the pixel belongs to, and the offset of the pixel in this character. The character index is passed to the text RAM, which contains the codepoint for each on-screen character. This codepoint, along with the pixel offset, is looked up in the font ROM to determine the color of the pixel. diff --git a/vhdl_intro/vhdl_intro.tex b/vhdl_intro/vhdl_intro.tex index b2da496..66331a4 100644 --- a/vhdl_intro/vhdl_intro.tex +++ b/vhdl_intro/vhdl_intro.tex @@ -43,9 +43,10 @@ ghdl -r --std=08 counter_tb --wave=counter_tb.ghw gtkwave counter_tb.ghw counter_tb.gtkw \end{lstlisting} -\begin{center} +\begin{figure} \includegraphics[width=\textwidth]{counter_gtkwave.png} -\end{center} +\caption{Screenshot of the resulting waveform in GTKWave} +\end{figure} \section{Synthesizing a design}

VdMvP*RQB+Ei!XWeshKe#qOrIFT zo=bhA7+lNQnB1paA0gKv!gWA-cutGrKllk_z;OiT0a%jEDgL8vc;X#!@$j6b(fDz3 z5f*RL6d7?^@6KH%@$SC^KbraTj&+`pDJtZ6^7-`)$!&SSo;`lS=gmOyL}tp(#s@R4 zS1N6u<|^TSh4t;pgA4#~u7VJ`@8n4p@?io(CaI7r3IR^FH5D};E)LIR7xpx9FY|Fn zXTxcOq@V08PKebqWy5Iq4R4$1BANJk`KC0sS8&5)31A-eADq5}awIHJSf+dF3 zpsX&MXsX7dRm7qetXQfEpb`jfw&ZqQj8$x`+Q#>2vBruRk(UH1iHaKVEmhQLQEyn( zh^P^%Jm2p*bMM}L3GnOB=lT4f|L^hBlD#wMoH=vm%$b=pb7new<>G5hidEftZKqhA z98Ms_V4*;{>o6|I%`k3VTOm9EVAg`$xI&e6YMt>mHA&1|6s*$F!+t8qCEXBu&*lYNre4kyzl~85jR$Gy@-47cttT$5oQji zrH1)o{bPs1rEoDQA}pYif8vflnf#S~z{y`|^(?0csFy$^gguuWo0X$X9;9!iEIsKy zFrgy$!hWIy&9bs=4b($0kgS<`oRDUQC%l{ihe=H!R8<+uDDR8eMX0MDN1x23j*Ze9 zs1{15ZB8WBXyG3JfV`dyioEI~MMLug)&9^g1(Ua`6^+ypf0ROZtOoQ#1I^q>S+y~u z^`SsD4yaVtv*CZD;ExdeN(HZXCYB~I%Dgj?H>SUT{z~+Un5t=Zo^>RjFx7jLfi zETa)+$iwg8l=6DxIh1jmmH{11E@MBIAtx;j{6mDFOn5^Fs!2Kvn0}VfVdn-Zh+3`# zrX?|xc+=*{)hSCKsH+vYITE-T4njl&TY=j{mA7MLd=ScyyA1I!T0FT{lX2igH3N^7 z-^gxaSiwL`R{D^Y=F^Fa5W&)+_|vQd#AI}I$N=-6nu5UX{_39sIfPK%Is!o&%(`ZQ&YEtwA3?|58TkZc38-5z=)%ZwJ*HAB_P#!0)mPE3)6o3ilZ5CPmBQ)r zrz=S8H%>|OwWLp1qNKl`=A!JPq#ZcHkCMc_c|VxMl6MjRq*a$6ZNRXB)_*#=^$+>6 z_zWhPrl+5rC*m=s>Vbn(Rwh``qg|zaOK^Q>z-U1`o!H^^Fuf-!|5s5`u~W%EZqVYq z7MJ`-DyR}R?{8*^Bpwhhf%dzBF(p>pj&{$jB+vk?h*2)$EwPBUF2SJaXhFSK3+Om? z0(H!A98fm?MKNA3Y|xpi&Onpb%Df=*#vJGW}`M86p8=0h+vu8fk$tV-5-G_ccik>2Lc3mg!c zgMB081Wp?euoTful^{|9B7r98&3NmZu{nO4Y_s6S|_R3U9B>ixB@bHuSjI#_BxYy zD)XL09`_9}`OFxz$8`h8WJ?dM{v+_az9SLSZ;Spx;AsTDodAg(UD!KE)fm)Q(#+Y} z9*(ZpLQZ2Lt06_UuES9d;8qSJ#`?urXLHM9B;e4egUfpB(`R<5ACT5uts|`J&kmF3 zcMh#5o*-A>3-l50#T*)AIiAljHwKGC5q9VuE^NcU4&>VqBX2I?6?oeU^EX@D!$ zP8*_AkcsDk=p>hO-k&A3MF*mVA!21G(|!|ej;aq_VW2J{)VpMw+{*OK+rGT28P_)TuW6pTw zU?f0=&l`fx&&bL4v~x2vV%K8b@jUW_?iC1c=og*0&a5_N<65Rlw_@0oXvC;s1gb#l zUj11EC9guGVT}g2mnY2a65wDRQz??YN2@msIQF9yh!{$qvOW={#waOnPoJkh>i|#- zs&&a!_arA1a5y0sfH*BRoPWIWI<6D_oyNFy@6+NReFnu3H^t);=3KksWHyfMmzTB1 z;U7@=8wmexfwHR|*>s`u5$L}Qkd6M^$UkYvD!x7dZj5HqYBT7!?gY6oJ-r+aJlk0A)Bl+{|wVgTEt+)~i$e;*AX zZS_n4A;%6ggzkuGOL}Wcd70EGGxrZs?~6(IoqYV3L4Cpy`=U7AJwcbPKBV_npSQV< zgk(p#qL$|RX77NF?U$8#0I=`VDv9%BofiE*__Tj1MPE{9{|l>MQ=OyHJc@NSu_9P* zLuD3Vu?Bq|N~uLh*u7Tr(Z_&emhx|CNi$he59E8yu}{IElLB2 zNd*^Z^gYQ$y*8u#Ap2Bdkft4d8p$w9uz{w2lTu!jmi1r>qh?24 z&Kg7uZ;^^5#V(1xdLLZ~gi-h?hkmEhfA}fT9}4>RV z&r;5!F;!fFVx%me4fYlx56tF~uc&XWe(`XgJqY!ZhS}MXy`Mi4FYQw;ZS9jNtt6>5 z>p6S%iKHhset<{8yA|sWV(n+JA`**r8W`G785*hVjnc2tBE+yHrkv6fSx$Kr7XJWx zx=c6Xk{`BWBujaM6@w&D3Zk}jWrCufMjj*~`?XQux%wr?>ofoeZn~tyc2d;8Jrej* zOL^h%DCI0u3dSoFBV}?IF?R%W4R0p>cBT(DSczSH7CUmJq}I5KYVl}}`nC;)ueIEB zN#RwB+0>4ka1B^D;Enm#00&d7*B}{Gc0E8**;1A3hx#=^aAb3Ek-vH#_y`3a$n3fX%NJCpP%ATCtJZ;nP_OQSGY3HP;>O=_{SlgCyx{9G&&9ollKGW{SkQ~J9o?>{`r?c zpow1VZa_F*>y7xWUTZlL046w|Mk|`*r!t;r+nVxC#LwUVhB8htY{@7N^z!Y%UG7Jhe*rxXYwpv#&(f?)BT`&+fJz-0;(9xw}3Z{<-gz`?>s(E>uS)7 zo!L8k9Xh*tLI{m`bWut>qpHHxVI%~vN{a4%x36bO?YXm$dyk{h_O`d}+tKzNJjw@0 z8PyH{A7W2B1_G4H1U|XM7X8^ix&s-GhsblI z`2^GlsvFnkTGXcw^{GdFYFvMTb0d`Tyq&3xO@(mnO{@u>LNdv7S1o5L$ms-WRS^2Z zMua-Ea3&>ucowkC1ezy#z~>JMdbbN&IwJH!R!!*$(5|6O`(vr1GR0@IOfST$%HDJ| zA)I+7D&fd<1P)r*YbCeUF2d^1DY;BbzW1*v`A}0b8mrWF+UAV%$cwB~7Bd>`H7kdtG7HObfb+1@$on#Rn>rdpUDIWaUKpOK0KIM;^Pu@;`o`1IE{OL|Nyu zAy1RyMKH||K`5GulaqLIuzuvoEH+l8C z-m%fp>pEAjWgmd6>3TVwLbkWBj zk)P1g^q!FORKiW{;pR|E9#HIiDF@oQ#Ev9RSH!$G0A!AUT+(Lm7)FLghC%2vlyn{# zfRg?mlv0#aW*{5>qD>T;Fw$-Yx?-2!tEuRkT&U9zStyi7Ob|***GdSbKY)^bKWfoi z%lrnm++O->x|Y#5(|Fm)+N#R6Tt@ssmFyta@6V7jlCfKxiAgh@R%<;$z2AZ%4t$f_ zA>>c-p(9_^cME^(bppt#0U> z1Bj;QuYJ!c8r%%NhZPO|1|A35g-P^0{_Ad_{WC+_`HzD39I);cEO;I|WDb{z(nyqt zfP#+oYoMTGwLI8c#|i;BHX9x1bb%ak1}G;?EnOevg@in}I6C++fjp=}Xs#=^-j;n< z>=$ArkuG*DA<-FqnF2ZBMS*N7wq7h|m;vUx4x|r|n8Ktybe1%0HQpt&gJxG=ju7XB z@uKErcq?$&5S31!(REU;{7LJ5IO#5sH^UG2=wRpju-IxAi=6}8cLaW@?K&I!fJW!E zg0_oy`p$!#P--3vi24?4sYA6?NsJ^%{E4`m|HP7fg^E2=u}@L#T^o{{hz&kyS+6|Y zv8-RQCQ;w@O4C@D(EY;St}V+kh9>GZMIF71c^oV1J5K2tGxN66+qIc__fEs3>q2@? zrTgH0knWKXs`aY<$YBoi`DETR{pi-t`mBn?7^sO__^u7qWp3fl%`t1V@S!aH5sK_p z`)IfDW%0Tn-E8*>b@myPg@MAH^xAqaa4LXl^Jd^d#JyVj zktp7=0`F;2|NaY#nq!K>By^JQv6_!4 zD!or20GW}l<`G!TNKb-gupa?)Fm(liWlY7f=9kbj?P-FB`0?z2V+A&EbsEnFmXEnu zZuQt>1r`owz5*gQf5);=C_d#_!io(1hY7m<_Q#k)>HUjbd-fVvIMj_R9Q-e0o;H*- zv;Q=%5NCXk(}SZ!u}y)qdg!oSvOF5`8c+w2*cwU1(v}JrMg!)*CZi z$LC=@jvw8Py!(;YYiQx+&=<$&F@d_HkAHYV3wdKfYG~mqq$Y(HKF*}X&_dqRmK0iO zF)1;$a0ZjudCmm8SW1=hkJp3b4$1d5X}3?UbxuR6JMiVij$+wW1y1j&0CZu-aOWo!G$_EF@C&RL480u zXvwiUZ*_P`MO|p^@UF@%mJB;5E(6UFG>^Qs+5dP?O2?G3tWgrdbiMsPocj1ti&QnR zFa$i1S4TUDckE>UsSYRCa7x%Yx%SRLQoIFgk2ZOayd0M`ACdiMY4QR119ZgZdf)2A zw5`>Cqc%;OKb0wMKFc@~>AiG(NNAgi_T7qgY`YV^BYY4A&g&NwVjUMTZz}Sf$f^G{ z)^RckMl*Cxa)0x=^nTWDWj&(eWc^@B0i$9Mh+*gv_jiLs8&%&bIanpnpNn0J{$+0%v!M3}c-n3Pz@(~*x@$4mK#4zu^t+^l@%liH6ulaIMg z{cgL4X8(UY)^YzkAcQyer=Y}QIX(7dRfla0k^MBXogRA~(*NtRj)$R?L@N}Jb$sXF zm`|sVb)3!8^HKW$*;vO{F&Tm#u7VxjjabKrv5DkB?-}> zs?Z~Ays?gV^T>~3v-eoX&TpZJ^HyVOn7lJZ+dhT<^j>2fx8DZ&KeM0oB20dZ@^lhw zVjbUQ?p>bTG_j8BZQ&8)A!pA;m``H!0KX$?VjYLzC|aHwo-cZVN)eBBl)R-wQ3>O5 z_{$ppJZ%VK9rI!k&shoqzpevO>45;{T#TQc5F_RXvYj$|BOx(qI15ar#5z`Yvi$!r z)=^l&x!9CgN8vU(*0JD2sR{Tqb%3+eslzk>kUYVI*#5IvM*xjlM0$csnRE1=#X1f}+oGKzXlY~l>y33ZbmhGLji8$v>lnQi3U%gSaEM6@lx4SL z9nUA?K!XT1ON@0qiMd}4QnJiV6YDrKO{`;+9H6C!plVPXs*q_S?7cWm^n=xLiDC^X z8)4OIJeSv^;2X4HTOIX^Z*-hLBpnA1XO^tQ@12fM-X790% zXTaRJv5qI>BVDZHnWVF)vR>nn=L5L7E;-h*mTCVM+Ou3{bM?IFQb z|J7K>18#=A-t>!N>^jzQa|F^#=-Y?9ndi)(_ph5mYD9dBtja)st;hfR)++KpJpO}|GO5AQAVf(|B^ zF`Q+H`!1-}TuS(vgg0ke#%ub0Outm-gzwiXzHOZ_D=*>-az)SGoQFS*+vf($n?873596c01PbBTKv~ zpYcyx2ij#1Qg}O!b^HJh)7^-5JfT}A>orgk?`bYf)Qh~~QJ9Q;zp;+beztvwc^lff z)op^eKy(-<%B?Qh<7GOf{kb~mpu%#F4Zbb-zD)emjjHvF=0nw{iZd?mRW*6F%qv0O zPGTLeCd@E(=5Yi;FUOk1?Ka8%`M(kCn8lJ-_G7#5PE@5g*70ee!r$7=KWWwF`1>(z zU;&sC>p1FD@fqmhk;FUAFdOKWLmWK6ry|BxYPiF#Ca}!8Zp67(#N0jholZi_S(7jO zvL>8YWB}jB;F*W#)olOmn2MY?G_)o2kakQR@#-7qmXW=1HD3<==a|;C+K=PWBuy@9 zhAi65>go4eGxQCo8aVGc1}5Bif%$SgO0pAClC~-=uL$G>se@-p_U0Z!wD7gxfxj}t zpEF39ye8)5BhL+od>V>`{YBY#z-ZiSIOHus34 zEabHu!Xs}w!1}lo22PepK!PB*$^e2hH2^~lq(qJeY_9X3fv7VQLLk@La`_0uP;&Im zv^|}8NPX~Ke9HDQXmOcLYirwsafHr37ymeC-?#^3Rc^O$_!IVQ=0BLYzp^Iq86-HM z`-~jOWPDcDH&ZJH;Jed&^WLt{aPRqmS2Upyey%n@tG+qET(YYwKg*DJ?x)nqJm+5i zNt)+;e7DYXQg&#DB-sLcKg>d?HB%R2Kkg=6VrBn$(07OeeiQ2cCeP8q?~OZ6xGgo? z!mmrN#INARvQu1oef)*5$N$KrLb=!ZqU;Pj)O0p zREHuyLt#kI)^v50|I9AvCk4McD_-!XwY^}yu|a(8=@_Iqo`jx~Wd zc|wzQh1zgCWzZ2rQDKBVUbIQN_K*atj%Sfw3VVtg#BxFQ;@eOlgm@W7Jx34Xca6)w z+FJYHTB9Gj1p2K+NjSTRQ)ZyC&6U7tUKBKI64E|G8djXx3El{m$4>Cz`IKY22$V1X zgq0ZZMCxw}^oY;+C#}?50ZkjAwey~OgZA1lf}@(iw^fzjW>gHadDS`|$>Q5jt@fe# zfWXX?Z5q~%E?Uu!d2ctXgDwku(VVj)j~~F;@*(7iL#S33vs#Ow8v+F^zSqb9S2YN1 zpu07&nYpne2#T9c&6}<{uzcMW{Y|1(njOmx1vY2OHy%shJiEQt;b`9Gk?7gha$Y5b z{T{hT+md_oy^7z%ZJ&jD?RA(cD~1}ESfO^oXjn49`e``!REtu2ygN;&+8lT#s(s$( zA}MTx7S=9*dEGluP$GI zy$6Z$&klU1uzAj$uGCtMBLd)RKMEg&f_8cz}!t^B`{m=Rt4Yf z>q$`kuRjpg@3FG*)Sg~$)&uP|b>MX|8TM%qwJVEBtO{ePIeANIFmx=m%?BGnlxq$g zl-N;`heDg+V`8PSC~ckS4*A_+<)*aWL@;G^JmTAb zI-4QGFd6X;O!|Hz;agw*>w!47_y-1TzGKMo7^1l{=)VTH8xOwhCXQG1=*kTQ_CS#m z4=S|U@1Sz7ZOMZ)NC?8PNvuaD8#OxWaf3oZ+x}D(l4k|BLbu}_K(*1DQa%(LMg|an2a|CK z^622&ZjSxVd)s#y?V=PYKg?-b?ZK3lv770Mgn*e;9ft)iM{G|a=oox503PEVlCu{F z#pU|GV=~R#r<~t7T=OyNIp>qE{PKl*j+irWMH!VbT4+^amUav+D$dgqB)b+%xFZ!B z=eOnJ+6xr+62i(8JCQPUm)L!%g3PxPO9TD6rA~2pOVueD^Ix){#ZOd{{Ya8$F*MK1 zgj~Q;zNe^P%qPQmTo`ElQ03MP8E$$^FTy)r0sN+UF)G1s>~`CckO$@t5xt0p!Z{8z z3rys2Wl5xO_<1~JHeH#jmvip865d9rgPBUwf-HrWE|B{l=YF^-NDHz4vt8!+$ zx^^Cph}h)LT0WGGWj!Uw7%e$G>9s*}KT7;bJAL2)Z^TLL? z6edNZK$AU^*{{nDZ-x}!aN{9a3EOl^cZ%WrZo*H@@0MobAtUmhA)HsjZseW`WE6v> zH30)|RL)>V?wKNqLG<|vLtH=CJ+m|w#%aP(C|VUZ(`$lO5IteSXnaHYE~&$J5x#@? zuE%!+zDw~v0pE@IZo>B@d{4o5GrrsKJssb(@ZFB@j-XXj#b&VTYWRnnfmUs;{7gLj z^Z2_QXSFi(R#TY~oMg-=IAS;zMh!S%yz0z3AeNhvT>RSs#aT6bfBdphOa~YMxa>K; zg?5b%F&Ul609TUHf(+C$ac+2|FcPp`)12p)4$@LoCyOfQumlp4G@SM5Ww_=6?erBPiU=%L8Jv{0klj1dJDZ&l3uqP2fiWoS6K{4M_fj z(AcV$(T?=TWo+i76P+TzrTij;^mnDzM5IgNv`q57Og?=Vv}Ww7YwE$eXxck8ZEI%N z8BiiI!YVIXq8=7yEgvH4^_27+OP-4HHXSWdM8eJ~Qh8M}l7XAfMa?7fuM94<-Vj&@ z8GNt@y;mZsy$?cqUm@eukopSQoRiE8WTEAJA&labd3dz&sp~=NB9My66J^1=R((ei z1!csr_iT9aK7_`Wdr=vgN$dj!do<`e524ZQl7RCN8o&Ju4#o5^Bs!hxeM!{RJH_nc za2)G8h($c}H5n|DBGl|2?G~YCm*4&cTq=d+VMmxOwKqf&67wyW$p5$WDS13P?^)@j7nhBU1z(k_t)YPU8^OInTAz#6P+Zb|x zb%_k)Mh(^aWa8D*IX^&YQY$>>N|JmsmEwb+oh@`N3)~L{HX#oqiDTSN_Ib?iL6Bt% zm1GOwwN@MlI@Vxrc&S!&2&w*GZ_+n(h)I{q%v(*X;KZAzpRZU84@AAKC=rrOg-#71 zJ@fdgGcYDkTyUs^?X$iD4KQ;nRl(bX#(*#Yk|r+j3#U$8K2ky!2^}MZh@3ca!TXnF z7+m=uUu7mS@!7}6O5qGr*o+YNboy&x4kJt(V9fL=MQP7sF-B=C27;2#KFplpGB?4U zCpZRlj+xGXrpzoYM?sgOprs%Py_LxZCM72OE@l^z*SeI6h?s!?>s5068#|w6bXXOy z!C6lK7jzBFL77*`K=hr8aOQQ8(Sf+-!3uOo0^N9K-8j53@D>C%`xkJYL(f*Iax*Ok z6%HC%M6?fa^dS;}M+{RV%vWGWS49G^Ir_snf}n7X0+azn`8!ZJL$R7oleMF{48Z1V z0dOm6ikA)UV^FpF*9Kdr_2hNQBMh8%lwYj1Q0GO5x(&Q*J-HM$T6ho1oeFZMq_Q3q zW%A}R?@02Z>p-_G$7#nNE#s>tFNa!BaU@RZ#7vBq9*o_|;Hs7$Uy8b7n8od=tb8sD ze5#uRY!FDs_J@n{yH%DQ5G(pIzYFA><2}bkjDq|_(en~Pkow1OLFy`y()CKxc%R%; z1}jo6kwy_|A(_VWOy3;b()Gj47eXH#JnV(gwu9#$nl@(z&@-%cuYP20!Ltu!GCl<9 z)2aXW+x6^Mr4x;YT$~UV_uln+n{&9oV=b@4$Z9=xJO5pX%bt>TAs7ueB2~mir^u-y zYJwxDloA&o$8c#j;#=WG_%|Z|-r2J0V2sF}nZx*!xj%fAv{|@Y#!`KVBN`=nGtZx_ zL$T!J?NWkSQhdc4bi-I`J>Oa!VqiTP|Y*0YUBPL zsd=L{uUr1;%c&TaG%u)m85E_?kzvbAHE)9E!Z;Iw|7yzEy=J0gQo27Xp zHBVY860Xujj)p2jYn2FbU5^Sjw4CC_g#Z%21;2EHEZHDNmiu8a1doZb)O>w{FIkq8 zl#eO=lPt^Wnm0@H@|1s-WuOX+y&7O7NYRPXNR(fop1#&($>Dg1#o&`fnK_3M5~W4A zEZ2-iPI%Yn4-`NoTqiuKGDYrb5-H|jft!PF+$?$bv`M17xNg_f4o%Gi>`=gVYO1ZN zSkQ8b9qrcC9!)JmY7nWS4Uv0tL^#fJJDMv(x<~ZDU35o910wf`2DnS_=tzZ?woh7s zFQf|evIZaiigawL^SssU$niBen8T&kRz<>_Te|*klSOee7sZu*xf|DY6!y>@KNbcb zWZ|x09*~5AFH{42?A0)^uWf=l20E?4#@0Xwjb$XTu>kQa3%D!|1v)T@cF>alRB^uj zHE`;IlbF1b$(E4n(DA8FmnhhHmf@;d7bnATjtrMg1iB6SDN6N0qMr$LaN3}~2iw!M(2=D1I&SRsFpLEe z{oIN0;}kxF@DCCmesF7@8KT!=gf;;0T?K&ZIKd?Tu+z|;Q9&Ol8nM;>8h~i2%&xg4 zAK&(qma=)C7A=J<>NtLeN%<%sz};a5Hn#>gXiIG_2y8I!iogc6)CRVcxuxZ2#QDl* zOL>z|X7YPTjs!M%D&FFLnwG-CP?||_&rvqMn+n|fft%7y2H+h6+(hDKAl^$e@wN~V zF`N$6OFY&JZ(gFvanUR59VNZvS)eG9=v3M!I$z;0A$*oFnAAiDUDalh$DQ=92a5hL7$>^8c(9B6tInyD`26$=<>JjLC}cJYNk2cGbB$+i zdZnT~K$P)7Nt~O)8z1Otu@4siR8MB}UTl76jyhFQrxJDVMAVWVRE&Fo0Xz14s#5t_ z<-OMl=rv00KoV>D$dOM+q{IfLSRdZ*;>scq&t_ttoKewFyii@7Zi8=d)*9HZirI4| zvan6DSIZSWxk$|wke(y(2XCiWM^a`q-7&5+oUy{v@E1y9a00a9Cr+}@nTfx6%1$cuq!x2Ki^)eZp`L^14uH|KT3+2`wOh?* zp9S`=Q?Zujfp5m!3HoA1FC+T4jf%dPqJLoF6*OruEAU#^?*NFM*x`WG#z5-Y`0}FMASe!j6o()1DexAA4NUlha)% z>XGqE#rPvJ#sDK(#ypr&u@@zc8X)?BCp8Y9dzsM)72q1BaUN;({EHGeWo|!_K=9Q~ z&U%37qxxdL!4VM@_J$?=qC`+FDQ3p6#z$@?AMlZIyc$q(J0f&M^+Rzr`t$!3-oyFEB zzPaVK!UwFugebJSXzayfFG<*qpq~Il#UNv(+@|dRVlvpz5capi9RQmI(}5wA_G-?R zSfxX_;$B3j(%5TU0EUyz-m@90tbv z8oO3XyM~!yqgA#j)wVUtWDph(t)P*(9kM+zJg`WtT1Up5L(uTh_?8cR!*E1{KT(Xi zte11o*chHwy#Aylut3Mx9nuX3bA=f#{NyrJ;SZf?MBM&v$pr})aUv~u!Q+sst{@As z_QUJ4EP)fBM|*bcsH%KLdNPd#740S3N;Cm&Y3V3Oid!V84Dl}!3#kU z#5-{X6^;X%)UsJx}Z+M!8Kp~cm_;lBw@*C4n~*EHhF$CU)wr! z659HqcWD^53rJCReqj6DG4dP7$F|Sr$ugFP-_UZ2FNzkva4FD!12kmfE&p^eNTtk?OWfmQ)uv z9`PhyLUp}FFg@x!w+Nhvnn%Ly?pa88A^1OCtKEi3DL-^U7ZorAoMir21q9g4>}IP$h?p04f}>gqnkX(;j#NzC%P6|YC}`ipdL8>D$0 zgXneQA1fpmuUfYqu6erNH`iZPL%Uz&D*MZiE`eqdD*HM%_nwMxOUemk{nwMxOHfr8x%}X>CTQzUH<{3kgEh~5#5Y3On^+2mZBWd`{n=7HW*=hMn6?pnKZlJh;p_}w6L`d zntSzYm?_C{XqqDEV;^^SpH}03V3Xq*_y>009F7?}=Djq!|3BSSo93X(y3L{Y-xiKO zAIPNUx_T_j{Zp}+HOQL?(ZHqK7 z(JU=iyk(k~XqHxM-dfEwJ>@lgagE5epL^TdPoKitZ{BK7_suR3XaDq!VD=w`6jNva zObtfs#wl_As@>rIsu9)K9b7YAAN>ZA%n2vCi~0eijLE7v7>z?38agD-VfnUd8^8o! z9y6!Ne55H`=_@quqt!n1U1?h3Kzedw_V9Q%tn7V2*Vr?s#GsE#m5B2-R!J!`I$*KL zh(htmXg5|!4*&zp-Kou|mgJVi4=s^l-MWEmCEOguF$iuTSy)WU2%%MXDt>3MWm6vf z2{dh8!LN{@@ zcoRB^yTzN(HQX&;?G*6Xs4#=k8dd1tSDc6G@IWzPwe~;Vl61WrpVFwZ8Nb|mi0umMrOl1QN*J5Gy)Z*|G{fG`WgO(6WJ;Af~-Yp=T5YL$sXjVO8*VX zTFWQkkF~H^KB7hVlRCX3h&Y(T@=JWo`m+>Hb~kbMaOZ=*^>*i@H-B>)3P2$T!Dyq5 ze9`c9eCaY1ZY|WI)xJOtQzBR{20#UKmOsa)18a1_AP}LJ;{g*#C8k7E$brL1t(iBH z5p&sfHQbUl;a?&FR}%9N-d~dm%!@kAe2P02?Az8rs^QDiks`B(RDLq4=%6q-!}(ES zaz_rHj+d0|A4zsh?_^myL-qr>pb|L57El7oSCr&9lI;3NDyL>5Ekc%AuGzD)k_l&e ztLW|KxOdJKp>}a?SueFwFYdq_#JF^%CVUyHi`O$<6f<{EVIV6J#YJ$Y{;C$v)L*~S zO#KUAfUFXx{*NF9PePNI$>0SoOP2b{2QR94h^-Q3t6(LEe}fV2KdSv^-{WkYwZLj# zvOU#JoX`K*t8%PoVzx&kz4G)|PxX>bT_&_+;OPng2CTCNSXdk?b-;bQzctm7G-l!& zlD;9;u~=CzLTvP`m=ZlbufCityAH7N>+!$H>a|y#c64&+a+8E9_VZV z{^Vg@;y_iM=nR})$xE?g*m=^OFhr?h>iqq0g@I-#El;_46ZQA+w@?L2I#c()YPO+X zfz6)1tDSSroy-3%J9t$*;B>Kv!Dpt5(UTpzN98QQW^e8_@!XqJbFYl&UZc6Oi+aI6 zI~Dt~IQGcYTu;gSXs$K*r(ujjZ85rk29eOt6==+ z&j=o-5LUP?0sh1zc3|g{2B9jL-+t}D6+dLPqlI^kg`qBLW{+701axQ8s|o)N|F(u3 z@h?y^<}GI?DO8}~Ih}-yCN`m|4Nd14Il;*wa-(JLOvryj#1e4gqF!c z@T@RALOWne*^)eK@qX%AqSeqFHZdRMZ0XD+jI@yWC=Pi+&KnViTBR^UU6>sJ3OXih#YT(Q%HuRJZpXr8#sEIose9GB9qcs+uU7*P=xwRB@oij-zh zX^I0)Z;?P9N;PkS=H)>g@z|3|nm0xBMrxj{J#ibTCKfT#g#CS%*a#H1{gT-8Y-r%DKchrfxij-lN_%yN%N*? zUZRYrYu+r)OOUbau*_qPA`6E~#axHwNKGBBsrANTnIKWuVVNLH*I}6;Mb}}e@)L(; ztrU?Hj35BTG9gYHl@dV!N(8q%SQ?gMX;?}mn1|G%NNpFc?&%N$xZ@#$02E8ZQmlJy zO)Wxd5UJe?+oP$aNS%OGRTK?Ni3BGhbqZ2dMKmlW5}c0ISx8mo(6E$9O%+=^qBlTT z8k}OOZzod4Gl$RsRSvzxLUm-R>WFl*Iox^ns)>KeLU!y(lsbdvZNMMB(_sJywxq3& z^X?X-NGsvYaXH`Ne#b2KZO?>9tCMnXN{8H5Fa$ag8G_>YV_alXcJbuc*!&In-|YRz zPkt>p`MESR8RV`B^9r4e@Y{0-uHd`(Fp?wlq0X;A4X*DQiM#^&cW&Qt1rPGGa^Q-m z6)uNxj{y#)lDEi0<|DkJc-SWYlI+}?@CbZYE$6=@D$dc8GDC7nLS`z}XO-&TM}z9m zcSSXJj?x`oaeA)=S17^DNN|r`5o`^g2sY8+Ftwxci~qGs(=!c2B5N_`3V-!YXchdO z&x7J)F7;RcM*q&A!M_22^)L1B{44qQ7=QIx{d>~|_#3iEj_K>4e-?fkiWp>(C__}6 zssB${|0NJ)bh-4d=u=cct7R#7nCuH%MBb8vE1;=jMnf%$m_}PviQX-&(Y#V1$8Alm z=GAH5B+WCnre5=?ub??y^Ng)&)VwCib8SttrnYHnEv!l%%5T@y4o!7zO{b>Xn%W51 zCct)UYLBKiBNYcSjorr)QfZXT*fA0Lq1Rr-@@iuT#c%qF{dUEAmE5e8Ai0B{!r-nd z<|u4`1x9^##KxuS-9HukWMaR!Sfty#Hg|1-eb+#G%vrew+N=7#t8_mY1-kQhMR!g3 zSg@Dj=`8&2y|a9%#KRuBsQovHgLrkTHfjtQQlOPm)K^r)3npqitz_x z{A5=gc3lWgs+NmT%lG;E9x@i|7uTB5a_Qvd`>19Omp(sCE_VXcG>KZ7py_?M%N=6FX|KJvdCDb%V##$N>2a2>Ix6$(xEk;DPYijWE|O6^xf4ay z>49E+%Yk0+ryS_TC|uM}H+ zmNjU-B~w>fmAqkxy3vw6m);U=>B_76a7RtaL;5jPJ|4z9WkO%)*ZXm994-9vcr?zR zo)8g%D=VT%pLhHvvCbvSzTnG{Px+nyA8k2&CXwk z@($MW&L-V28g~E^u!jaGe@UYi;7tzZ@k z4X>Z`gV44?ar&Pg2l}^xet7*#!i3lR$8QTxhFM)MCKu~mLpZ!%Nq@tW=)>?J%QwML z3rLH*&A|=6$%{(!*Oj{!h_Zm=Q2;8Zf(c^R9_=d^6XQl`gdDtLAAI|E1RO3#cmcbg z9z4ub2^5pS=Z~|E8@i-tQQ>e}J-LOBQ1}B0-vW52g-m)j(;q>)Fftjp!3CO&hrM5u z-4B2`S)JMp?GV$EO0;z(kgs--P4gZTn%0PbkfzLvQ-wrUdJ@BwL^VkaOCm868q%lh zC#n}X{0bRF&&0pbj?kto+{6X#D5;C|&2OW2;Me%<{1?F1(Moe9X}>uN_y6RzEZdVE9mFa^AFED9(`0paHv*_9D~ z-hU1h0O35J;WYktW3}C%N8um~y@6`tjht=4=nWt%nWWh_IC)(#vl7 zOk)ZApoA3tV4LvrYDY)Dy%jnVSR5@yD50teIxSx&@K?8hx86GLC>52DyB%|b%#@*|J9XOUP(LG(v@{w z8(w*elq|E_IE=MrHfyBNvQXu+3|#EkFYusHzkL;tMwVChR+E6%Wa9{MY%QXImLHGb_!S|_7Khhkv&W=A%Jg%P4h^kk%rLriJ1k&P&54;5B&wSUqEp+uJ4n4TlT|VU zZ=f+0Sd`iIC1zFZL#FGWtt|bFgunW$bbWYP8+a9-*X!PLi;QL*vpAYf9DAwr-sR87 zUZ87}&}J;U5RUR)vGrB41+&IL98CWz>#VYyt&*B?R-jr1gK>%G_sne5i`ON68bf_9 zMl!^9(PY!q4TFNdKubK{dTs1-wrCPsr0nM5Wi=LTTiN1*vTFZ=CowsQlvGE`YBFEI zeG8$=hK%b=lwfFE=3HkG2(QO7=Sr2s_@g0*PajnsmHXN?1PGcsvNga{aZslk7T$Fs zsh2erl-=x)7VB>g6KX_WNHikXDD~KIP_Gs0(tKEb`IihDShelMnFwfnI77lTL96KqoUcm(+w%7N*n?j8(P_rVdXaM9P*~WvlVDRYBS6xfAdgL2)XQ z{IW$Hh;>{nTLjBgp{0#tX>UEEGKrKdlAxp(Fy60f+epSnqQJc)Ws5+O@nefJV}IiS z@2?(&^(%A12&V9O6#y@T;-N@P62z7)__5d$wr_q}qo?dfls#9=eYJ<%;2{T2aH zrtH!F`S&|okcL8)QkY2!2P%cMB^kJL2)DJtsimhR|C04G0SK?3)i*eA+YXco1`XN} zqAeG+lG+F^QJ(yj^=5Dw`sJ3QnlS^}4zW`m0Vh|oT3LK;7-(FdT=bgkej_>Tb-jWf zs{(xvMTY`4nI5H9(kbd3RmwMz^1+6(qs}0F9Cc$`=A0j^y7D&Igh6kCq8AXo^I=X| z1Q}D7b6#K*gu2iRU(g9B3Af=xq%-zRLFd*=2{8_miNiJW1 z2waxtibXc*LzuoC>A^@4v@rgz4`Sxcjvd%ulReP6Z_4rC$aOU-XD(#LYc1!Bm)llc z4_ga2;@{+@K(q{3|C>A6u~wGH#=}ID*}fI1$3j^?3*{OIRbskUX2AjmUtwsCvT^k3p+6*3Dn`)(bjN(qT#JZm-@+lxsXF_l z{XBMcoP=YTz-=$3KU`4rrCk5bxtuvk%GVuMcs8mVk$>^DXsEI(W6rUyauF$qNQa&j zFh%I)0KOnl#q$OHnHhWAk#Kb+P;CWjTEp2$2QnmFQy?F6#)jlCq{}=?_hpsQeTPFv zXWUN~n3GPrpTn;jTkNd}X1Vcav7}N~0O9Ag1%ccBw_M2)JWy+i(=vho1`twTCIPDp z0T>df%-EaSCYCG-Tf>8#3dnbXeES#l7X;?vkrmZBkxMBVd`%@x;v90nO3b{~llAf1d}!fs*g36&A0 z6TV!^q^jV&ll*3i6~0=2Gev!X5lJZ!f8g8`^~=)BCB0Rg2KsvEq=K^b4+N3SScfAD zQMrJ?QN6KGiu_i&FH)<@?*jSuFW}-MB)=0y>0>>NDAgZmj;D+7#(3uDT&NfCQbF~O zT?*?X71qxVg|NP=C%cEEz;wc@=WWB1t2ckx2VBwQ0P9oL&+3?gzR ztdb_zgw2dKsOlk(LD|FIbj?}b>x`}N`2ciOe1iODN`b6#=l&!j`IcP8>qtN}dxn&G zv)_QmSeGO(FMb)4v<1FJyE~9u=<@P-P?W^#o9)}kC@<(6(XxIBK z_&PDRi{fsycDRc>u+Hefh=`jS;N%HT?vO?M{t3%*EZWCgZ5q>`zsd^O2%5(>46ekS zTY$f~;tND@*TY6xd%VTH3s`TM?O&o^I!O4i0UX;`t^nK2neWET*o#fbIC>pAG(|rQ;9c%k_${8{+Fh&3i$;juH>VYb&z4Dp7VH)~<@ z@N|Rh<)7z$~&1hjN|0*MKg!-FVB92n)$f*tel`;{4jV$u~uprE4Ab<&Y}X_otxD|n<3qJ z&%~@xp8RVQ)oR<#o{5Y0XtB*LZcuxx%$6=^V={vbQG`xt6PC7}$$fPO0C4IK_tWgC z*i&>MHW9Z+_#ekDF?zR@c$Oyt3@vl{fvCjie}Z+!rBt{@%5j~@#U7U_Oc7yT5*XZK z)maeO2#Y$cGqdXk^m|;+AyPHIk+>v}oiRuxnLo3?ktB9LaKRLfg?mp=o_Dg(n+nZ! zTlj0EaF{c0sqD>^H; z@4#h)o>%AMew_pZfgbGG*JAWk8d|P3Xm4dp!uu&(5*_ZA1Wj`Kvva9LhLGgA$dv4H z`LVgj;-ft{`PJfKTY@bgWEV#d&$S0YbgW7HSgZfx)JJCGZXG$V9gO;h z9uR+__CWlW7it01gVVzcxk&8hkLKg(H=IUF8L?mEzLy^6j9yiKktV@WgVRRcc*J46 zCY<3WjMjvc(6qGM-FPOX{BTV>bc;VXfY*p}OS-T0AJcNf9{d+i&`2php69~@OYV6q zYU^gN?mz`ut(k`>RcY$}@hV+{-}>k-i%wF^n{RaQ1l+j<%it(d34RWyl8W2gQ(Ts( zIEW}igveT(-y+9~Gm(PaqD)V=erWztnqP`t1Y#Ctjb2rO3-p~M!rk17TIH|iQ9+Sa z*HieVIJt_F0gRt&N-4Y@LM0PvyGePHOZgXuvWzL+>_Qd1BdACFlyc%yg?~Xx%8By} zhaIlDtP@__K9KXn0P?@Eh1MC)P5*;bjfFit`x2i%OT=FlW&qX-S z1;=o%uwJ|n3LFoDnqI7$co8$8r6k^szd53;qxo;e@g@&sH8ZHW2S_eyp`pFSB&)Z0 zgm@6VyC$%;s&cEU^Hxs}UG;;wIxoR*QD>)Lc!sa;_{<2dZK(_G9wtgDcPm|cxMUP% zTX4M)f)TN4d@4>m$7w(Y=;@erwa+{N-KiT6{$bw*TeClBpUrQFeQVB)ePp=f+;;+u zQ-f9UfVbnq=;O8Bz1Un)-#PoCN_Y%5RQW*09BjZnfYp0oS!@sd!e2hT%Uc_p_QC|^ zdci340mI&O8-}yWrtl6p>P-445RSc`=@rX@lh+_vSa(Ql!~?f%%d)ziJrvz=7`DdR zVzn>jiU4(=+*)(K?-lG#=+28`a_1$>Ui0o7-v%c854roI|6UL(kstKMpzg25D zkhS~jR<>% z)6#gi$_w9O_es~rJj#o?S1k*wKtXKdi_pdiZE%MI{hC0f0Qx`I2CrcylhOuHmZxt6 zOcLr-I^yC%!o2V0eO3H8%Uqu^X8_u@KKM0eV}Yg^jaxl`g(??IcU;UxzUg)|fM@@r zmQAqO+Z`t|{WPTGmbIqfQuZkRM}Pa*n}X)*% znf^1RyWMngsAo`OHw_YI1YnTEOzEbBNjJHhO19~y0+6PgYSZnan?8ZjHbq5huXR<# zotaBN-HDDEB|ukwXrR+oJ^Em}YNP6hPbCx519A}qq3t6$jo^u6*d(fdP zFv6{NE_p!=fpZ5lc*!F#oTqFg4raj{x#E`hJM(U)gtvQcl~%s_E~!SI4FNs8?Sekn z$yd`E{Q|3cvLBK^7|5h3FRgVeLY$HLzi}CHcF#atTtM#iCBHz!CYIfkB(qLTj%<*& zWo>WorrQYI>ocup!26ZBDtKRHtNojJnY-cROKG9#g*XEv2i}|(N^Cxl@6WEWARr6# zvv*O0gjTxh8WE1oXR95L9$QM*N_MH@alPWU)QsP!Z7E2GmAV%6w3QIfGH}HNZKc}> zpp~u%qWxWsaN@QURnt|Occvw@)Ji$}dlkjx%vQe-U!J~NYxeEtph0XHQ%~#XQakWo zsq-eOJbQA@mpkIOzo&&L{W=)}h7ii=6enaJ?;()0A{9DmpdQmYRU)T zPT!I?94N|WS)pmGGh+*b(UNw~{Gx;F0qA;Wz@&r`{2W_EDOT*HtDy#=)j`Lb>GalM zEBHgN$ATl+ig-Hw=jes$&t8b7XjJ|;H)xgSq`(zX4i2e2{&xK++A4gf2?~5Lp`wNR zvg%FqQT3?r(r^0$F<02IO7oCXA}R1r$i>X=XgI|6YejuW2?zv$ZW3as*M2L9g0wx2 zG&x0n&!!EEag`1;BV@nYhJq{Wu4$>U8}MdFmVLkYWOzYamsVgjSPPXD1Y{4Znv-dTUW0D0tte0JE$W zp6KSoR)+x!DvEV;pkuap9FT`jz{H|F!o}VIBp$vfa#$L#EIrW|EM1^1fhNV=GC&Kc%K{z zZR>Cw4lX*apVMjp?g*}+Ug1Be*7Te%d9YJh!^s8T`0RcVAsq*ExOg{J5uUqvtO_iN zWxPUJTABlvE>)HQEA=(4fzKl7qKJ>bhlrR-5Yj!$45KX7}A3G4{ct8OVKRD4EJRz zf2%e!bbBPcOz<2!J`#~E+lx|W-Kjm@=(KqtLJbogL6|5P3HS{gY31@MX3>)zIN;Is zsu`Ru*IU zA_7ti0-fb-Dxe11yK<-+*upfgdq6X4<1ccf#?TaF8F@evmhl@Oxc&1@B9$JP`G0@_ zn8KcoRDw-yh011pzHO7NpQF_hv(wdyrz( z_YadVD3C(b_hg)cD`W8FUE2Q__GiME@1kb&t9q;1@p0B!v%}(iNzHy3&mOoUs5NWt zgPI*pic#OUU#MW>HS3a;gt1sx9x!owg#yPFnUvcw(-8M=P328Pp~&f`NN3FRSFa~u zaho=9#YAQFM`ScKmsO`-0}O12C@Z!?W;%(|XqPI+<}6_RECmCtY5-m&z{n&3zN;;2 zvnKQr%>7qhbC1}HH+FFAWlz}+fsHlYce0jv0|~x2$Kg@TWlz^(=)_F`aCW#M!CXgI zA%baJRO)dNEG9Lt2+WxDLz1s@$x{SFAcFFV3BbQmUOvqP@Ode~sJ#Jri2%nZ0a&X- zAE4Ijlgiem-REFVF4-EyOdc!ZXYMr&-jn_ zCh&DBz^I=A_#^`W=OzK{tbTK}eoqna02j|RV(;~Ptb3RBlc`Fy@E4@qJll~R>o+vD zewMOv41sS;0Y?1{zyJbVn*^}4`tkW2*s|AgwTFGOi?_@Az4X~G>$gxT-$=@xH+t(g zGPQoj%X2n?pG*Np{S3ee0{kHfU}yEKQ!c*z4tN*2c&YVE7=z=c=)+Hw>vAe zku%0Pv4Nq@lf369YGIJ;z)BrMxdV0M5Xa33?tPLYxMTWYRXo6ZEw;?PVH0MD0svNE z5e!x{Y6J!HE&Id~R5MINGv;~Qupx`GnrW7$@=s~yulhGC_saEB2g#ItfAzh|@J}oJ z$%Ow)GCYK3@(*DCTyK6+4kH8`ox&kG?8d^Qj6-AaL&719Jp?)`>oE4WVLPcH&@>y5 zTrHD?_SgW;!v+%PYbO6ky6vP{!{YmJYc!}zp+LGI&^UWPh9=-Ju3_-{?O^cTSqT-E z_shtET$?dh3&&HE16ObZ2pw`9ksbk(Dc_Hv3lz?nA#UGkGZh3D`Tx?49qQ`K;S)so z5Z@U>tOnvI7?+2jI}qd%co6*JTM(Q8f&>%LVIENIG$mCHb+1DE(n=}#!M%gy{GSOH zBjLF|s#b-Rad4zW*pYYXaYjj`J`6`L;I9Y*Nw&L;CT^9x1mv)Pyo$m6mWt!hlC^Mr zKwJ6-BgcUx9V5fx2+72J0|>9!uTR*{XYR}ptkS`dTuLTp;pHinNq%4%1VWD<1oFW) z2;_{Jo@U8)m_@q`4C-CXGHW4e5`~UEgjuV>=uQliW}z z@r*`&cX6VLbwc=4lpOWlZ9ePt^B(j0EB*Yl`JAAie=(oq^|Ri5o{Ue&f9Lq9+OTnB zN!%%W0s?rv6HlJ#VN*b2zgQF4_+xYo??XC=s({vggUTH_0~g8!K3o&{=*KuAwvq0o z=s~X_Cw0rQ&3K$Xv~i^gkFhvqATCoW&LzdyDyY;b5dTm&`Kk`Cgs#IudOfcunJKuZ z5w{Mw%t5p<4s${0adZoPx)ZEz7|&>91{@b76d6%oT$`y2?0hf;{Yl&dJ>f#v1;&=4 z+=gKBWf#>{Y^n2C#}j#jRp??K5wLdi(Zs5mAV9q=YnAcju|HpL)m%j4# zdH;IwuGM+m?U9|EJ()bUS%Ubr+d^l4CQisWFpy=p5WWxiCf(gaF|Mm+AAXiE)Z>Gj z^Hi1S%&#HQwb#+hy}Iky7`-sL#zGnzNtMMKdJ|P-HOBRAKD0wXvBnbX4q%0Pa8BwZ zv_6hR#7{}xgzQLm7H#U_8ThS7ilV-=`P*DO5%mqyFW5m8s&`S~whMmoAQ+FdIa2)5 zN08z-QnYV?V8I|pef#Rq8bDTo?V1$4k3IyR+6Uj8U$JZ$uc+^B{mDTXx`@FzxxBv- zPp5Iddlk4Ic=zki2H@2LuOS6*2Jm9%7e_~31IXfN;kEo@eO_#RTWoa~V?!!lrLzb+ ztT=i^KtM;FA)xOUTQTP;K)3dJ0Ij8FPDwf6>G%aK-?5m*JHCsE?+|=BJ_4Qrt=!HB zQCsZ`%eLN>YMkW zPBk#QDuIBmHJ`eUznovjXJ(ct#(nt*6kz`x?pg?>2U|6%Ynqi%gB|ukU<+gq10gvP zR^E>emJFNc^d+j=J^;Vs`&^)9R=u#e;)cRe9JJJKo_qFflBqP0KXXYf9r*r#LHO?L zuasmk;#&o{NZEGnAx}a%Qy}WS5Vs~mh+iqo+igVc8&57n!NSN399o)MB zDb8=jplsHkpL~Hv`aAaRtculg3j#M%{NpRI74j7hUY8QWqKa2W;`d%Uv8Xb2v48-7i6P;n50YT*K6q& z#6HkDo`aLW>cw>h1zup=|F^Iy(? zdG`LrIFL8_8*hi*rkyMQWf;WEx-phT2T$f79lKmK zGr-i_83%@VE01pGoCyc1j@@z@!cX=NB@c#L?R`*p3@0ysCd0{E{z*HWoT|*Wv+EjW zk9C<%ygxG6%iw>%b=nZsWqQz9 z-+7xy(<!FUwp{X9C5zF>Z8BUjRAiT@IG}jV#4yFn z4q()G>nAda<7Dg({h2%&b34LEIH3RnP2~0_FppW;&w+wj+2#D>ot3#be+LeI60J5Z z2AXYu!5FcRV5FN6O@s=4ui6v!9r2dik6r*j;NscMqCCs^C#~{qlMAa7^zLIMX{Yz} zBl}zSF12{yo4!YV*ZtGwc!+YmzjAzNuN((cIUa>1`=X@o|2@n0`3{%UYfv0yJ3l6} zt>d4xvivF` zZf;n@K)2c}(D9+pk8cu_-oQUeCVd-Zl1L%y>sIjh(|{iVaEG{zJIT2JP~3OY;C3nZ z!q^#(MM`UVF(3CY>1IuFV4pD)jNce7=i?I+t{tj*MYzt3G9(yJR}G8%?cy&nr=ta% z#_*YQGe@`2{2@k4?7jDJlG~d74c7vr%^~^^%{cC?h}^w;Zqx1~Rn;BQHAM%4BpPV9 zc0k=;VpVRiDmu_mYm5qsAJw>LmUdz0GyigF$cH39HyC#kU;G7DD?E#0A20T016qBN zoAx6~>p)r^(x8!ZKn#m8`IA;7`vcC~wb{Oo{z189FUa^y4k2ToPF9tS6y?eZc$4R2 z-g?R70%?%kn`832Y~(FLo*Y;F0>gt@;dp%_Loq%j-47)89+%Tt@C)vaxi@!?VtbVc-gL;OMU(Lwd8Y6#y9^Tu!BK@@`??#gfOFl}g>@UB|p4$*b(- zbWrYp2vho4{qw-0v-U1Bi@C3kYZ)yA9e6gL8|<8`&tbexN3br`>4#CloABb1 z$wtHTo+6yH`L@5DpwJ!*`(O+gi-`Sd>J;U;- z0ENPr`w%N}<7J5Y4{S#Y?)Ap}e#hMMGed#xnPU3@J4Rt|AnZASg?9q1p;TO}k+oyY z#pV6STs(7MID7XUb8H3n1GY2v9>=LNYinbFtEGLypGyT7#6eUxTwAeh{&0N+BhfZ6 zpzCDzw)8us8~TH;)ZG38VOV9`VHpd`w$Hs0f2}ee7M5z9 zqryFi{BF6FeP%0e%o(;yI=IQqVCL(dOu6W$1oAH)$X?Yx4u@7tCO2aRaw>EsYxFuN z5~~2@P$&cBw`Rs7PNC;%p$#8`ZYAhi-Dt8zVc*^yk0c(7!|L5NWgBX!ud+tOnZ14e zw|ojRRKUqt4|D`Gn}eD1Z?qIQOyd?EZ^@oish^3pR|_mfKL#Ky&}MY<1_3y z;wQ(8jri#p23j+(ZJq)pY`~sT8!E+K(!d^C%iqz$Y?NdF^Aeh;k_P{hzE$5`T5%Ps z*B|K^EKB%Dry!9)gK>l9VV(<#od&Qk|HXXu*G7Fm7HGL4C9M#e=X2W0oXMBVLt7$r<5_c*5DTE&ccsQp;%}^7V4)8eL zfxKHG2NQDB#mSJk*IBlc?nnav=mUU1tKg0bD{X_}uZ9g^vDmuoD#K5?HL$wBdUAxv z`?Tb{NNPGr5d!AOBv&)}LMeg!oN7oKRI#xa=X(VC(D5q#T&F*4U|_1yD{I_#X*2Co zgOxVwd?H|HDJ&h__vz1M2e;c6FTklEn}`+Bc>u(U=qmp4u83qP5&!3pwSc2AF*#a* zN0bO~x?%M?lHJp^FZQF^qt*nLqC+B=F@$E?tw{m&xxUIgzg0 zvb^Biq4cD3_(msC{;DWR`Y={B$X~s`2TRTdMGNbRRpDT9C&a14m~#!*zewLGwX+{j ztWzAUK3=TT4Ax^FtR>3fiNxybU}bx;f~w;;I^~#c@GN4yd7+3Q)a>(Om|ij7!QjCt z*o^k~fbpP%u}2z={m?Rd>M`%L(AClInOzYGrmAu&-q2V%40P<{H6vr?G5k6lUu@1U z?wF$`&+&)P@ko-U3ngE`l82*Yqah~w6ebT+hP>RAR-Egw{(=%1Ovp_WOl7@I;a`EB z_GZ(lG=<|bVOyJJc6r`6!d#!OQ(*ZViffhPbMJy;9Vi->O!6Wo&(nguEFJ6r-L~y1 zDwpq{zY(bvfJ44r$zM)7`El|lxrE7wrX_z@TJm9}#{PoA;#mw9Por;kU56BDkAB)7 z_aTuZh<9TtaU_|yS>%oP17YaAUMIOcMQ#q_J0|{WUe1XDfMEI-ll(#~wLe;itTkac zmUg%hI0GVAPKB0V&13|qexh}s@(wCl_;Zd;hPaM2q2<#P0Z$>|AOJeIRh6@38I|<@ zu83Scg)L9#>Z@4*D3EKg{0r#53dwI=v^9m4XW=>~{x}$0qnw05^EPZi5&w(1Z-K9S z$l||gb1S8FQDgQ{uIUs7>`TV7I7yp6dAMtkz82FKbd|Q zYBnnRbVCzcB6UzS8a>2J0W!Cv`Crhl43<*wM#CDQe8nwA+_Mpj9t*LDZ`WtUKVI`@ zGB5l^^{c;PlF0B(`HI7I1~3H{`tN+TCvSZyUoO1+57g{gitK3p8m!19GCWhh;*kCP z2^vyjJ$9oti45KA14yau{ABew67>jCJ;`_8%qAP^bzaCa4}$n}kr!VfWg%AreS;y6 zg~?z+D{t>*nJ7&3^v@|w)IacFg0&_jqW)*r+x0(F$DlW&7d{F^&4ez6pMxF;Q z$F8IEQKX>fsPQXtu%zUyA!FCwhB@H6vbvtbvsYq}kfB*}n57T0fH-aoLN>lif^pO$ zJ&r%9J7hzVjW|(S!c6rZLa}`9QN6g!WfL@3eqW&AAStvijXz zTBg-K4rWMe%?VDcE84xm|8zr7Uy3>-t0$C9d_bGiX*quyQmI51tuGds2J%1pD|G{y^u*M)yW z2RBWdf)Gn`JyEJm6o(gL;9`s@Cg!*TuH;~ZDRpxAJe!4$4E;CjbaesrEf{TopA&hS zckVFDZu~e@BP@bO$x`1i&37;J%|Ab*XtZZYoa}~!3CN-U@``W1h zS%h4!>zZ)`m8>8bi+S~X$ot`0g4vtR_&vtD*gCiF*JG305WklQW+xyJ<*8;F!^Nw( zF%BPzvafJQi0u`59NGu#1~R}!ze(o77cThWHSvxfDEQt8zb2z zu z4A{h9!T9UD!njh^NHE6c8_0YoAzv&PB4uc3mr{Q9xL=WSg8jnU;tty^&CRCl;5rNo zuTT-*vl_fTlt&8y$Lmdy1sXJru&2ExPytYfZQYaHLFA-$apJp!75?SXJ8NN+VZqWT zuLccnrQ5O?!f9HZ`gc&Af1l}`!S?E5$ZNx39mdvJg|ksuC_0r;{2cD&$O2B>^ogEL zyIf~4I{_v;SQq$90Ao-5R_k1w39_`#HRAtWJ0w^~>s)KB^Iw&zfn@3=Fa;o5XK@g3 zj9xTQta1|~Fl#$HxL68ePB(0R8+p1(o|WLQ8Wtr`Ucw%n3WmY-1B&}C!mS<6`dbMv zW4z6x7Xz8(7nuAqlI7hP+yxo?Zp}S^9h1scl=Z$}M)6Ji7Xc8qUWDElW^Hp9kCZvj{RC>Gp2goD>=um&VfLdHEDn*!Bb zDVFPx-oqqU7q8&`jgAu!_BKY(I0jWDQ=i7@kOA_$3D&3@RfgNmW!3Ci?KGi8aG~VM zcMgX#Dm4((zk+Z?1;tmt##a~f%S$Jmp!ps>04|6Cw;5-s&x=IC`hk5L~TEa z;(-R~b_ZxI;JPy8XEs^_Xbv5{j%SHz8YjbiEIxC z86Gmg8#>r^kw-=Bu3NgsVy+=VFG49Wq_V2{7&Mc;F=U7Sla@P92fbDhBc>$1F>=>X z;jcf6{V)X<*AGAUv*;x%UiK`H4z3bwWflm}d;{E{f4bOCpfaNrvkrJH6Hi7Wx_mrS z1KhDSVZe4FSUSLVrY1mvHDR)@dL3Ec4T8hi70CIFUxIiJxn6=^U`u2bh5-2(8Nqka z+=I)wxQw?lV>vP=Uftq6loXFIB-Lp+I)w;EI0(9`PW1k8!jG%Yw~y?)+m~5ZvK|pq z?@;~cKoC=c+Ew6S`AABAt5^dQU(NWZ5zicO`*jRpv+iekbtRN&0iXcx=-N9MlO;6R zL?3s%piO#7B);VWLhq+syjp{kQ#wgumM$({_2z5U#Kw@(OX^UtEwp%kh*hRM>!oOyxKeAH#5&X=4ZE6OBidQiV zHIOe6vR)yxUsIR^Flxjv7SI_AI=z5^NT(+~i$ODqXl?}>q}0g!dl|d}UOr;*m_8l1 zk7j>6wJ!;wF|cr0fccg$G}(Q)Jc?+r??vnf3U(t$-Uz5F>1||2FDVYgKi)VBMNZrm zjL5(j5ZhOU!q6>NszVOQgj*Xp6#dy zy|}=8kKBe=AjLI9krsQu+-rBO(r{M zDb6of0Oy7wQu?Y8h7g#Q*@82RTdxshnSww-xtV~$6itO|Jba!c%)_xT^8hoC6;P<8 zZYRuSg_%cp8CJ4MyOL=aBdsjz&*>4E@gB0!A=EuRI2RE1>A_j}jW)2I%8h{(COT%) zeTh=aAhzC02?kOG1q&=|xOf?r%FjZ|{NLOv@@YM?tUX2KfGkqTdF{6t0(F3vW5s_` z0xuH!S%t2%p>3F}73N;TRK~*8wD-r(PBl`nv-28*q&qtYyo0@%rS$O23tv&47rw5h z{Sa)Ul^_FLasJ96Zlmdox3TYIwdP?(HP$G3eFN1VSnvqP!6S25S|^xgOA$k07JuGf z4$j}m7Fj^VxpEoRf%XCp!e+^2u83;;*n^>rzav8*Acni*F!Wh!Qg3GJ_1;v{U^U8| z6_(8KQ=!;K5&l#!ylAjVJ({VROf_DvCVo%Gr+MPnuuyDK*iSZtA0wQlX@tl8GOCf_hYZ4-(`fTUif*e6f z&C)jiu%>QahSaZ4770M+KDBVv<1CghyodF6D4g01YZs?d+Pm1^&tte|iIpD<4-s4W zv*O-S!BNC0-z5TfC`n;$^h5oq!$(soPW>0-Y*VnhZ5JI3%~B3&te`&^lEXaZ5IsUJ z{PUhIMn%DRah*{G^BNGgH8BIW*EPFY1ju0a-m%&LjFxx!tw_MWXeooFUeu4jDN-RB z^i|3^e$NAmTC=p-TSYJBKy;H*Hm*c-mV)xVC#h&{#`^*c(sdZ`1IF9;FpB&Hz%X0XU@Jw1KPY!v~@^8jl8l12o?bW*p6ai*!CC3m#J@Qy}~?3nEPFr z_Umi_GmAN^(%A$Zsi0D&hs(I4715B$W(HkJq-7N)qIUfQ#g;;BKb|0E zZ4HGdvOxhW4`FoTCzKqUP;^nQkM5jXd3EV;}wNV9b4V1<1J z&Nl3UDyst!2RbwfzV@Rv!SUNkW!}xdlft94J`}bST!FJ-6Pmj&`WYK8r!lRPO?lQk zcwDqKT!{S~T#+rT%@)?W(?QC;69P6L3P(9W;jpj>dv`fZsO^W(>pUXCbdYKRC4jIa z!9Qq4Z!j#|S#45Drd#MiqZk=lun0T{mFGIUMVqt}nKl4vIr|s&Wozcm;b_5w(1ORd zl~WWr8qLQqQ}H``ILG|jS=8DIrA%PMZy#qz3G8O>!p6bo5_SS%;Z^Fv`+8Tfbmqr3 zi>7pPlo#Br#9*e@zRMs#3I`MCg`*LD#oFb1PNv1zLvRz{7r+W~cdd&J-%?Ft>jL!v zL7Tg+)4&9~%a;IX_s{1OtGBA=Ig9W<9wf&FM6$w!Q}nXr=KYj6KWgoDF+h0Ft~>Xm z#N#kN6>-s%_~20ju3E@*J8w?yv-EIPf-`GT(YaZOHOgV)Phfn1#>cWeiFeMrOmpQf zW^JM_oST8QJNms8W8w=??2;Fucl19Gj5upk&mgJCd3lpiqXN@X$%y!9@6h6F`DBh=9pk|z=~$7ku<4=Odah>4HvHFpai68lwgb+ zqtm5!<(riiTs^6mlm{>S1Xp8jajX*Bm8iBKE3C~M8NOomNNYoAz}irFFSJ4#*0q|| znJl$>uz30NMp~b-?-&3(wige{3<<8oBVfVRDQz&v;vbod?Sc4S*_gN!m2%ZWP_7Wl zJVXJXdQN#v;!RAPfW*?xM-&alpUD@OZr*S5E~T3@ZaTC5?9$DLBA=s;-(MJG(b9P(A<@A_ny;{N`CnQ zAYV981c}3Ux!uZI<@F_Vg2QQfW*k0RbA_3!G$vO!Ib`FUjfr0|iN5d^Xqe4A%zJ+S zbWfOT-i`U_qx{9m8HVht38<{ZHI<*z6W3JKjRvNlSLdcfD*OpO(gT3@m8hQe76I{` z7>v7b+rGb4lu_m^a>i~1l`=AP0ZV=7F)Axom0McvEoB7-WVkK?~Ax z&@OJBW%{N{QU8)ktGFDjRsvNu%&n{-BdkHHJMD)VPRBQ1;l=BIflyg1WWX*DSFDvBcQ*&_)rCv#{Sk>d8e;FS>(>O!!7(l4(j{|Q$t+({xAsNQ*I97F@57px zbP+CS0B(SNwi6d)*G(Q7Pf(L1G7Oj{JI68~L|$R4E`-B2vW_aBO>Moo4nrCoKF9MC zD3{@>%Yu|CmV=`uS6N*KFf=I!YDEH}xmp-7QaI0Oi(PQ8f}r5MAqfg1P5!|m%eT%Q5kH2s5f-G^`Z7_n>9Cay10%>$b6#ZJ_EFjE@c*O((0$S@ zVLco^s1w;;G|EXE)UOW!c;O(=`aC+-FJE!s;}m=Xf>`i;fCFWQ!>pI920(37WHge(=Ollk7@*A=frGuMwjQnPib2z86Ma1vf$`}Hb(#rB8 zj51u7k%{5V_x)Ld6^kh7rKn}F{c*Hu3nO{`209kQ(y|OBMqeSgm<-gFu^a%(1ZtS{R{#bD5^ak3dw_ziPGOA< z&m1F~hN;9m&^P1L@an^EQOt>#(@1b1hv1m>=zSRVLep4~4=k=D0B1p*}xP%Ou4TMg)^d$9|jmLaXSl(MMEJL zEXB{4^k*fCS_%zDj}a@=Vh@33DxRxVjp+FMD!h)ifW)gZZAf7XCxHAuqd z#*(al5SfkCRw&7RN%AX}Lkh({Y(;c}OXPV}U38j<)mJp%`hOtb!kB!)y0$kkAFfI? zKC}UN6}hAX6GAm6LxLTXcL&K1Y}7t-M6t@dkC9JrLo0U&UpJzH04J~o!diZteNbAzOIN*oawihAtF{^SXxsmvSEv#A#B zsGUikoVNjSAtRcIQvwW|B8af$RdvLpC)kczpt$ZF2?k(DralYhc<>M^mEocyixzTAB1qKO{wnC<%v|qh+PPfuo~-G!nf?;eHMdl~Nt({2IY>fRKm{Dd^>gX9u#}EfU-SFiRI6}q zC{kEGipxrCx!3%flLG>Ca=@19Z{~OwIci2(Z{?xehkH*`Lu$8y%7P``PnF#Z#XkQ1 zLwBsEOkYV05R~++l0Z&XUG7=O(&K$h)YE+OOIAtbEL3cym>7Yu zO^kxanHV2QxlI`wva|SUVhn!B#{9NDl^hd8O)+Lflm;e76fDlfB&2mfYrt100>}sk zN+3W+MIo0%jgMl-2BwK-K2V`p+qOa=uKu3!*nV)m_po}F}%BpZa83Tzk= z6XPJXO-z4>RW?PL3{Y&Q5cy5`pk0P$aZJlt7&Qne-%taQ6YUBus64nVMweZ5tqOHe-N>Fxwa> zTiFuAQ0&Ia?9cSUNLQj>1LR}Ufk=Yk$VDzSKvHUBfaG@<21s+z0NFWcfSer34G@{L zD*k}KFhC6A9b}5OZ=nIAf$Qcn^?v?m z&(5nuF3O6Pcy*4h#3@WGxRLjE;E^#bNb93Mw(9Fg2^qnio{`YXM`W_xZF}Dg02LJA@Fo`lJ z>rGbSN-y{SmlTHA*5cg2IqWCl*YG$R+I*O1IL(1+r$$&VOvlCB{<|BcT2QWy@ftO5 zYqEMNk*YwQ6em^(j|E~Y%@*C#Qu2Z6>9oOG`fR6^-LABi|Qq-5a|W zx2UA9dkm7g6I^0dL`;>5FJ$~Aau35?*wldAS&jvWZ9;F=+{Y0r4^UVHZchbatd?P= z{tYY9O#< zO9`!OUA)!kwmHsf;1R>v3%8)gw4&Jbm0egdLt7iAB(|7}PC%ojz4F4G+5{LlGD?Gc z-yG6yh#udG=8TMT4ZHW0FD%wh(Y~B`XAy-|QBvCH(jz62_JW_fpq(Mx3*^+^x)>Xc z{_$NR8G$9Z^U^(Ql-e(JjIwY8ctak|7o9a>iRe07*n*3yu~ONII%KvWF+TZmlXyF_?S3Lguh|icYBt@klH5dQ&Nvy0ac{ z@$Ge8HBpWm)LdmRoecPufhK$Z+@yU!8)$bm)gqg8vhz7lfo>+y^$rkMM!9qh%L_!$ zD@-9_MmsQy2p8Q^KrQO9)hd%`@%e)#@NT7d{viEKmV2XszIT>}h%!%q;06rK%3+9)Odb*ikevE0y87gn7_`$pDNEK}CcW zxSbG_4FtCjbGik}Eu%8fQjCiq0> zE^jORU4);m@YVXbsUdKfW@xg-^p0k@m>CL82H822Hf2(WGWB_CodSg(?GMA-9IQ8%oz_9_@(mrlP-nt5u)(mT@|<<4|X31I;c@o2%@b=wvSB6h4+38xgRWnhx zQ zIv6(Q0wy#=U5gCB&-Ve~>s@03*i|=1XA%WkeOR|zOw-bN-F1n=zK@OHu_IX5eLF95 z;Gv+O2a95ToX1t$4lrIe>9Gtzm;j}504nYyD8DYmy=_rEZRyhP2R3wR4GfZYX|0cOJI?IE=sJ4* z($xE#(3eeL$v(@oG-c0{b9L}ui~&;Y$_{49(Mb!*m5x<0|Ken#8S@YfSveOMySctI z1_Vj;PvY=dP5|=WZ$z0KYiMZ~c#yI)=30pQj$#$+7%=Ouh3M#>^Evd7Cu$8G^;Zb^ zU>Gk>2wg8TP3+CYZb&TMJg^A9WycmB);Gqg(~tp-A7`8N}_nUQ`Wi9uHad!my8|8SA^rnV=Z88ToSk6=|QwVpR7f!I4 z)QgyUwkH*hWmY?0((0XwBEs+c90pzaeYeg*;UfNkfc8B?Kx22rmlDELH~;x8@FFeF z(|3d6Iermpr)zFaYM7~|p48;Cz}ZWY-?S_?0%KTLHqzSZ14TTO6moYsKLv%C?EX=%)XOKq%zjBRm3DOWAc0? z!|8e;ovz=9isAS~IXxtKjN(;>8G&+M8Jn|jp!^f4P}_Wb*n|A5mCm1u=o+CDtVaDt z3g>C>@h%eLdJh;#T9!AW%c3;pvvH%2+e=@g_>LgH{ejQ)zC8cx8Gkw_f|GX6^Gt7n z(E?8PH3pwG9W%BaUx9HeMQv2VXDiQYGbV07>4w>H7gn}y(^ew$#p{)uSrKp(=}svI zt06)i?y)XxbOuogd?!%pifDlc(L_abHW7_9h{9dUPzKhoWNh3x2Vc9B|H?!H~=IOW_3UlFP+gan70aO@`R#Lr~XG$p>5(b@R) zP287ExEexxWoM?8tBM>#Fw&|UX}wlik%Qk>bERz3d6gT}cZ|a(AQgCh(Q8*oVX9F} zHBe_kWJb_*osn4jDC#|?bXOEBRIY+s9fsguO<|Pz9; z>QxGxjln90rMg=hD1SnD_hMZ%fKfU`JpVYd>8Mjz$6V?M)) zgRhw4Eb8wP{y;_$F@$69;~L{FTo{|zyn{AUQimY}wHLCcjucmp!ULgt0%#>yAU{nOhkFt^~-c`G+s34YYMgg)`)#qs~=1dF4ymR|D;;4mNYx25ysy0zzKwW%7 zVChV1dD05}YC(LawlAP-rjCk^iOsYq*A>(Jgq%_mRL(->`r}G)y?UE)4Y_o|^*0IC zyd!ppB@k^J1a>`|u~>;;M&cI=@f~Z%jlYThvib4iLS?gYq3O%;GpCLKY>$OCZ4Z$b zS83~&wZ<7>ZSgOZi(xG{6N)Yt)O9}g9;1R(TY5D3;PUSW{*Xzniep{H#d#igP6*pR0=f?oQD*B&coMGi|2==6fimMyb^l+5_}-Z1L3 z6;V;sISm=G;0k9OI0@!d#()wb;U# zfwr(D?Xg4E;iEFB!#g+t$P~NRH)-`udl6}6(QY~2CueJUP)u_=cC(^*m|#_k0vl<$ zgHd*tZ!di_sDFFEIAJXIFj&=g6&h3Y#8a}V`Yb!t)yd&-wCR6-p06JzEuvY z`zcijB+28!I6`C2Hf13{YNEK+!u8Ph2A7$k9mi_LUIo$p8%wEDNDe||?@MOQ#trIH zg48%5s9y`lAn4p^Y|aN+#T&t@WE`xxk6^|dOh!Kaz10TDRscT%&T;_stV7gF#|>nr z3=#h$*Y<>U#BMh|2Vx7e`c8kWKTb}vdOYxFbf!fU@Q=*5B-$96-jnoG{Q54WhqR_pDner8f;y9vsog!M^U8lD_kLw;hFLk zhhh_qK--;);$}sWt|<0a6d8&_B9p&K@HA1Jp(svO6q$AJ+^|w(Lm^4THe~|q2Ts3p(IObdb#PVyl1)7Z~O$KTg z;aEc4xDoyA_+PL@Rg24^e!-jZ)3ralxdfJQ+Be%^>T%ug;>I9Ph~X^i;vvELfxq;_ zcSn2(N2tT{5^!Zmvj#tM^4`-G$l!qUtf`^}B zwt(hoO%E5&=N{ei4XLoZxCu60R;udI8SMwpO2AF`;;B$P{~(?h6we>K#*@R2z+}0F zS#Cp?oIL|Gj*)Jl&eIEgj6&INChxF-rW5GyrEVOejw7lQmHH=_Nq2{riN%Ge2B$Pr zSqeOk=M-czssq#WK1)shuWv>E?!A)-t;Iq` z`#YeGzBnGzs8xgZ{5X#k{-y{%ycq~KK!T{ndnA3wQuxzxrWQ^9N0|R{=1(F8sYL@= zM8KQ70OS@e^lt7C;Mh-&<&qQolx)QR)1@BHjjPq6MA% z3aGl||NToK!)FDf|5$iscSMO;(PJiy>INAkwW6O)mbO^Sb-klh20ybBKV+F?vD)-=ChgIda!N*@U&eRm@jr?bxK3rBS+ds>hHB9c27zcd;l2>BR;R-+L~gXg z+Q-Jm;5qPkiw0;d}m!s+u7UsisV|nAo@W{RbXM^+RA49{h$(;1C?-L z_D_P{BVe4Fu%EC8tXMOb9`PK7EGOijT*yor6$GnMlQn?gUfDboaxs(NbtY_*5K|v? zoMoLUMQ{%Ahk*`7$tCj%QTWjFVa zB#IXgZvvmoK9$zN-Q1kRd0W(=3qTKj#4#Y0yrEq%O&Xe@x?EA+LsUful@U%2$knhh zUZF785vD+4WaDxFqLa~aqzbtMUtuY=KylmTbR^P!^1HX7Aa8IH!|X0zqnP(3<{vjo zL2#=iHt31j{{^D4$9t0(Gri$;G8E&!HHest6tk4;CdK^HBw(IR%tmxY8vhvM%avla zxp5C7G&k<4+Szk zXJ`tKbWJ_NQ3phr!}%^i`~m%`&>!cWz#|vpOtFlN^lT!SGpBl9x{>AoMB0WyW|%Vo zvj}i&3;@{C6R-|-p6M*dG641_aZ!;KybFE@LxOh&?tTd4SNt{yZC%lr(?Uat{Gb@* zhPnaRj{v`Hkm`{!n2GPk_)jI?DbZ9F_vaIl^cs`w)?dB@g`49nh-C+Em;mgfr{q8z8U~~uC@jeA}>9d*qK6(R+dOOpwbRrJ; zwX#e;FR+jsO~Kkq4bUjka#GL}%uxCNiTOq&AMTnuUNsQsrp(aAQkW5hIYMybF_k^! zf~Z=nAhrFt_zYpqum>~zfaOm}3mFDr2!Ry|bKBoc>bh0X%VYV?toeiMk!`7DGnQYr z{kUqjqqf{!BW>B42;QqqM2Kx7v6%^mi7#gS7@?BS>f~Y*Mnx17f`T+Iz{~;)&jO4Y zQ{-|9UN*IGLnXR19@pcheLhw-N?K@IXPfeq#19`!WwgNjvj1vDw-QA%yO$0L%?XOf zHP1)aAa7&Wi^S5nD_`1p{(QVj8ALEIZT^wGNLWd!u4mJQ;)Hs%w%*5 zp)R(eaKcu=0*}^V_2ya;23qz@m>#!ZV&^oEqMyo~{p_3u|7{L_jvyd_3_@-BPy`U= z30}oNoA`NYA{GT3qrV4!U>A+4)qJhjAm0kj2aTcL2eG_lUm5Ea-1;X(aL(wERRqd& zh+#T0)S|uIhJU!;GT1q7H&Q4SVf0yQU?&l_P^ooVY@Hq0%F;bAcNAB)X$%y;#;fpE zPT;&e zbyJNZ6TSAfnJ9yP8AUcp35v{k2)D3O^*E=b8+yn2U`B>UuPHOAxU!P@>>*5akkS3)vR|MKNRd}-_5%s$101SCi%bJ)uMHX-+@i4G zOaScawE|mb>_lC10e}0r2$Ly*LNj#B>`ydfk=rTLwN18E%XL|*=<1P4$zjUDNb%@VdjV!B&!fflIc4br;~OumY#2-`sVfc$-v-DS4^anM5wkfki@*qJb3lOlYI{=ka{p zqQ#qhB?@s-i&PNyH>7KZP0+j@drIDUvPNR;6WbEZ>oDd!MDt;0q0QB#9m=#GNW+Zn z5;oN!G%9D`$vty2ZaS)s#~*U~1uC+rX5su&xc|*F!wwh`?B=5m+D?;1ye}CCfFr|% z8IPsOwu1yFh>An|GUjGi#zlrcb_F;nU*ohrGb}f$WlX)&lWOyC(#JFXbfjaVc2Lg# z#TUkNK^8i(%H4jgO&3BY-2u$m%fUPamNmA3hX21|tlWMaROLyC3aZkG075T~I|t~F zg-`qvn!p@~7fFtRGo2hU=d0Wt-I#;54#yn#RL2F2JjW?jp~PRq)u`#4I$6Wk67I3b z>r_N;5?TrXKwux?OqN=vlTO?$i3?Ua5i=Ah9UZU}cYGc*&MaW3tT=UGWi>3@1GuAs z^L@U`3Y@LP+<||6MW;%F#CcTgFa?THu{R{HYZZGIt6`ND zmlY#x;=;;+=NT7HE!GCWhh;+DTZ5kHThFIdqZ!*=A-Ue>OWJq1bf1QW`d&WPc*wJ8Ya=Q!qy81 z?xki94Vll9oHN#mb;iKcxBx;n(%k&@63VI`xV}AKy_{veh?@FHCf>>Q0bG zIP^4d7=55wI=hY#);c2o8%{&Jw9?!A;P-g`j`TeSP>4drm3iOa2-6km-#R3l|qdKN|KUrz`T+s6=F;6>k+n8f&_Ib)zg5&yq6j$V@y|5AKhDWLa{ z5KxV_@S)MeywSgNqL1=MALvA%=Z!wyiO$t%Wn=iMQjBA~pa(mk?|8XuaJVC%D2Q_R zyc7MjH+r@cz0n)J#)*E@8(r^2FY-qJ!-?*KlQ$Gv%W~6rs6xcw1%@uTJ0;gsDNh_K zdi>dNPh8o%Qc-VfoR;|&d}xhq8Rh|q+Kb^{2gANz268SE41SGM z44n>!XNdkJc7j!H>lvtrRI^;yJ^YZYf!^5lI=*3R-LT~ibPV{5m==+@LyS&l2 zInmE}Iho^da+yMF&7JWT7Q!O_v%DCFI~Z>8f*!M9cY2Qzo<={0=T zXj+WEjTh7C#%`5AZQLr-?3D2AQ&E3`kj3`C%dv&w#R$b-vq7&ebyy^-1Apdz#O(LVaLE$R24k&iNa1WSS3Dcc$$^r{ z(2->B9APfM8b^JxQahkiT=i0jCY8Hpi#^%QfrZ}8wx5=%It-rpX70~!nbMfAjtTPA zEoSQK1zLtW#{1u8C5v&OubyjJIM>&&8OuFm6M-)PFt(cmN0v4X2}#xoUU5@X-TOa@}i4z3K$1fwgvVRgJG z7!8zH%MjFmsM4QE`VoJge#u^$p5?|wO}^snz;l<98?50?k?WsgIQa!M6JyWrl9Roa zlP4~uT!N)w;}!i`iQ<()gDc~$(D9(2NTEXL*2UG;4N19Kj%|CqA(1Gs8cA3c4z9`$ zHU;v7O)5Rvybpja%%zWHkaRr$;3eQIxaw%+LWMtyGa&kVdtkOmz35mB&ELI%SO%_V zkks+zJYcD6PpyI<8(LK2IcRx{E7RMi;@bRpnA%|Ue}5$@aN@zJbyK&8a2>X1 zjbq00LbRnfR*HQ{3U{vBkp~I)d~%{j8!CC&jXU4iiE5e zMm@x$AZFlJ6K;LIO)MH3zZsR$94-dgA43BgL(4yh^nO;dp#cq{<)7i3zG!JyADf4G z+?Oa1Q;54laVO=$z!eZ~Ea1Y$EEdXavk}ijDkQvc--TZhl#{p0^CU}G%`9BU3=F^E>k2&6UpE%kWeEw*|ibR#dt;V z#W_Im6P9soxcFK#aP@?HQ{g;S5w8*6nsAwq$9cKZzMeR51x{A2Op@WgAtM7i;itg7 zZnC<`_$j}cP0!eYHE7p~S5_}KBUfHIDx=i@zgqDPTI5>`P~^>~vBmJydxIt$3m$ zIf+O{D3YWt$iVGRxcwbscJqm^GqmFP7Ua?1_`17Md3fP$;9j=0YrQdWcM|Ra!2N0~ zh5?Id#XI0(lJamYaS!qE5U=9~t_R`v^zzVED~{*EW6k1m-=sYJ{Vd>qaY+{y8X29o z!&YoO;;tZ)NnIe>VJj|HE)FAt;}tA=H&dcx$5TR{UqBT|=A;7XQ{( z+~=oct#}JIuGkyzbStJ87&_$;I%D?D*>JIq`Sjd~-=rX%J0wg$;&K^eL8)}$<5-A& z@oie<1$iiP{mZQMpwkyi|8Ad~s*|b3CV3<`#&}(ZZIDFcbq9oD7u)u&-_Z!<|81!& zwbaYbM5$LT+EJ-xB9^4oPoi2AOMQXIWV6)v$>xw;|Fc!Mg)rB~8o)fPH`HRSp~lLe zJJJePU+%f0pEvx3gS8l#$-uZ)2gdkLc&S#Dfv?Mo^PpsbGQdN&S?8kl!@0fY6Kuu- z&PIZP@mv`gGdq4m8SYoH)QqP7za(NI(O|U)uG)nwC0vAXW;@vApTPKH%|DO#L2#04 z4}^KW6I=xGL{3aBhQ^#2Hk5sq5~}#IC@n8s0A0-8#d^b9v%T30t|}{8Cm^}}5G?t<6HmC8U;Ii)KqW?g^=B5A$w@C_C|q9Gvp z;l(ZsEG>C;xC9M-E=DOe>JkvF9nRf;Ih2r#DJUnK!6qn1pyH$MoWFx?Ov#S>N@g!| z&s$8w-b@&l6z*mjJI*EN^vPMELBL)AmoS$(zyS+txte5|j3$!#MBvNle<( zfuzTFprs47XeXS3qUA2!QKjujOJ7thU!D#uKQHJ4OQayJ3oR`Kmqtr};NnIX3bt6O z%pjHfx}s91T}78#xs8ycfkpSD^|Fj)4Gjt3+P%)b>YCPrSl07EzL;Ox8A79_7fB1 zr=vq|KOiywVl-A=xf?+=~{z0aQyD_9FFSVt_wd5jk8dSVDq?xc$0)KR{qEz%@eylHB~2nR2Q z$II-p`$cAhFZTNTm_1#LfFLs*v*g>oi83Skk>N}!%b3*s@td%ZivPLD{LZNHE4>mi zi_A!E47QM~iVtqvRhGirQt>%*$Q3PEaUR=(73X%<-nUPKR(||EwAXmtnfOJFZ)7~K zfx|Kl`sKU~(l!T&O zmEZS{5xc-+Gqu|e=#Nqg2av+^&&8K`w5D#$Me4np$`Y5BY)iwwLTSl%1ls)k(HU6Z z_lV-o#ix3(uwLr-SL*w$e^jeR+?Pc!WOG|HOyfXk7%HIV6UJ9AuMFDvmy9tcNmW$ z!R8_ySMK}a902BUI{2E@Q|YI+Wp)c}nkPz!)6gP*|?$mLq4K#2nPXVQ|`{GCpI1Js>Bvn2oL zkm#on7*9EWj{G4c$+Le{_IQD{OJYJxs0@AzZifUn@!)4PrELIOFPhP(u7+}7)++uU za>TZ5M>roMER2Q`VO-ztf|}vICVyFH8U~bAT9mP;g3E88rTW%EynjJeQt$EQ>KmPN zO8UX^hChnVrw2}UeaSxf_!DD&k-Z=k|Hb$X*PeIqvp|5Y=9Ib=JfPYgT@)ShBK|DI zhtOr=0yH^l+88|uXA?noA0}Ac0MgrlUONaq2Qnt?1z+-qe$y+rFD`3;J#DG_`f-q#Tg0(xD8ayrpijH+W@7~ECA};u@b*6 zmhNRn^2ZB6${L*3Bf39S!rj}C_#cprX8Z!s8Frp&$YZ5P+hHAyM*-^qccKC4GRc%3 z+*-0bzRu?ty|~)@j)JVtQ{m_cycA?!FPk?jzMjj0%57y?xMcc>A^ zDeNuu%*pP5%dkphEHQ1@=m@}bvkrr}!`~b#+mGKeNV@&Fdbn%_KYgI5x#Y6kk)h8Z zQ}l}(9x7SKM>b9LeT;qw(Wt*kk}ZudjbRZgo_D-9!=~*rZ2OBCEjiIkG^j*RBKBe- zx|Kvt^udh2M2Y@#0C@$`tveu!_eExU?Um|fy<|1c%%(qHnFG|_U5Y09AB^7gloT`k zD-_dYSmNw6pe{CHEQGB}*gY>rb4>nRqP_>H*(srnCVB{?Z&8Z-O13QQc_fyx16LUB z0*Zr!(W0Z_igFQcW1B3v2)*w+&PnEFMP3N9r&(fjd4@;X3auY zb1Mc1px&84%xx}7cqT378Q1%Gc)mt?p1Wh7UmRlRZba^!NyXg1FHoNQ?U?7O$eNsI z*u*SrGjCHZY{tdpxU(VgMN#*KHnbt$NfKC)Q_#`Wj zOCJVtK$R=@_1FlyrNBna$cD}~%%v)~P?b&eL`FY{Xk8 zOY~e!RNL>+=HbqHI8SFwMiIiGhat&*4B}j4?t`{+wOTxbmu7;$WgJ~beNaVn^Qe8J ziHy1G6$T)_=sL*N8LQDI$}OUrKMVQ2V;d(`a_-?tbKgh8tiI*KlP#tPS^t!E6M?P!Byr!`(%u^zDBR$>6&&y6o|7s2@A}Qak!u zM2nLY-hwq*Mqp3}bFxy;-0xDc1vR`Jy2Yqur{c369H~$&nNY zN+(+pNfQ^Pvpn*cQS;0EtxoDceHXf>EdAjbexwL)90J? zW~K+c>FMUxI$WTzTz`ge|4FZ1p~JH(^ST)phRB@`yxRd6-fmB6qkpNXIM|@imF=5| z{Dnu!wyAvJ;48kqvL#g0ZbiqLAGetwzM|bUCZ%Y%_Wc_wt^w4f_EYr7Enn&+%Vy9!@}1|cVVk?TsgpamEAQJrn%ZPvYo}NA= z1vH>pBTj(4Zl8lDByE}9h)Eg1lnF>d&RryDnt4e*X-~pI5xg>cGz6FU+SBm&vWDPN zbOBKONa12Ly&N_j(XzN$0TH3Ra_8R%6xTObo2RLp8|{ z2K)LHp3$K|`H@JrKkh!z`S2Cprm-o33VDQ2PRcG{v^VNxsaX8T(8sB&5!7U;taI<8 z-i}?^+DUtuU#XXbum=Ts%>*9)fDB=|3xxCH?B+mu4e&Xcmmzc8{j6J99&3fU8z)!_ z_fEOIrd-Tn4eqbu550}4QLbSEXIQS$=q%_Ao@#+IZ+D`-rtT$=srx3u)XhV?tqetY z&Bt4w5`+00rY4kjca|2g0*LjgeyA015#>A$icu0UDJ`;$w)JTI~L&*n-G@E0G9qOU2WQCy0MLqC?BGgXP8hfF~4~ z3L>}Z-vth0_#P-`ew}VrR6z$91w7g-hv*|i7as>**z%B9drivYOj(Z<TC#csjuDQ)$N zRx^Sys|U_3V)~|#XsJBG>K!%kOnO9)IO>WwbRqJwjdTtu}U0uYa zd#g3GiX#f;4oJi(t1)gA zX@+jaP~ByRfJ(IFXo0R{CP;Ai-#It@WpaWHKTck=7u+N*2LMYt0ElA|@c*N)-y4YP zg>7S8{?D_7MZKX`uqTbtlYx*6MD}Vz95$8^P&XuETZ+qD60o&l5bnjsaX4-b1aBP} zj@!KTQ*2m5?c^YxyiEkQn9;i@m*JuPYblX8*C|ded-gpTV|Mzq=%~9twiIFdoA71R zPQhIg=n3X=?0UQEQ~EKes@9`z|pe|l5%tmIl?lD&N*UN8xy!G*_6P|;Cl zbZ)xBX8KiyJDhNrJ8T)nG>K_UJOhd3XG+iMC97$vu$5ubqy3S7q?0)vG{X6-D|>YV z{I10Z;*--hUiNzU($|&V0%rOCUZPyJof|8wQrfn|;z%C@)kvzTs14CnSdCgBg`CF0 z%K)m18d+tx;|l7Fxe8~U5(|;o3=k9Db=t8>J&LJUc~V&;dNZwoNF&4+qW*9JuXEX6HP9iD>yN9XWa4pYJltV6_-Kb~|C*O5iSNz$ zJjTtKVBe(Dnp4`Y!w`yX*{(3JPa)f~taxf={VS@K6|?@y3Y1;(a`A;T z`af}!jIAh}cOiVp=KdqPlFbxoJqAJfJv@W0En2FxktzC@dqm4P;W5#J89f=%$hNm+ zO9O|=SFkcdjg-2tJtf{^nSB^f_vNE3+#b`cHQ6?F13OfcntV0sub+#6JgZ3^5I}GuO<~i#8^_rLe$~!O4fmI9G)OIZ`J|Gih7kDkY^xtw~(F{VTkFc zlX?1`|Ka0{RDNIzM6{Z?~332VvJ#N`+;^cQ%AbA(i}v`Zc48 zVT%IuRdWi{CQm)JsrMAjJq7cXf_Z?Ac|Zc@OkbwYm$j3aGrBI3a^4@eAw5uE{&w-v zafF703<&M02qI-$lS0!s%_F#6z=$z}G&td+y**9HsInrD3x3e2tV zE}N`nb;CksZzJdOP}%yrQM69Yr5m?n=;%n8+!ZPzssrR2}?TG58O0@y~N^)RWN>JfafuODRI;?qnPP1QK)bH^Y$P3)cry zK=u5BfWUt>@YhgANUg>Qqb3P{oBskY|6>%t!8kDn|79^>=eyLZKy8+xRt0Lal2Eft zlk24xilNpm2LJbOI;ANE{z`+t6!T7Lpe#<#NolP~2 z`|w+y1JFzb+}8ycxfz4&i%(%(xZnUrg>w%8<^CFzsWF*|*;``{)0o4u>jUMy*hCA^ zhF?ra0R%62&0qm?O{CyKWF{kVs|cx)1gP~#%)SOJi%|f}0$8B@ZU_CH$W#pRt+B$5m8d%0 zr2qVxY^5X8Le_cduviuE)@N=PaPKhEdj!js-;S zzack**&56=xL_>)D`NzVqZQ)_7vq0ret@y_-y&*L>@WDGcJ05iG(h|)P$8J5!96bG z|H{MwEo^9^?!+-&M^fe4l`dvh0mfzHnauI`&H5|%( zPCD8G)g^w{>({mEULf5$ZA$1*!BQb|BRETgGYqbs)F#_lkMkO9c5T48^;JRq1A^bs znc3Y-LT3hye10>9k9{8T&ues-cGG|6r7cf^WyroaNWx8w5rNcE(28 zWlpA5Pb$VoU5qb?Fk_a|hxz>NmOH6Jg;32s9F49D2X6Aaiq=hX|5 zrx6&T`4oGai(O*Oqp@9MZ}tkU1s}kEF42Z7Tu}{o>2z=xC+1pAi7^+uCepEOcdNG) zQ7y$6%cT^bXs`}}DNL&pi6SudAu(Znhc}UkZO)s$L|T+cg<_xPVsBRLEiQJ6F%JbN zVz-Ns?!}&=*pDPyRkKhylokq)!K_3i*WiP>lwTnW2^JHi#bdXUP9v44Ve%m9j=3~n znWoH?e`D@p{A#GUjTKwEggLWu5W$@4xIF^~?CcUesU|6zMaP&Qff#Xh2R@V8-42ol*4roQ%MXGXCBrP(Ge;eF7CX;k)eu zd>AQ!3(VPIcrU~9I99`)TW99%1AeYiepDoxV8z#VkmenS5;}Pg!N!o}9j9fvjCqf9 z%MuDtfz%%%8belVO)hmMCS4}TO~(ysV(aUpBSLI`I=^iDI|fk>znr-pxeO6%wq$>^ zv7*UUD~U1J;3le-t+aJswQ5qe!cCwwBCl#tkH8R_r@Cuz#ex@!F<1O160vo++Dk-k zyZShKjbgvt#a^x0xhV|!v7{1Xwuuw5+eMh@#Xd!g(3@zzMr142_Dm_Nln%C-Z8{PY zp2?UUtN~Sd>C93(PXH}MHAjO7Tq31Pq|zlKG2xkvNkYUfOsSVhr4q?i?Ab2%iHd!S zi(O)HZ(4Hfc16tdVy{)~8y1VGjQ+t+U`H+-p^`SF6q{eptk4$eOfe!{6WUoL(zdBL zhF?`}zu(OvXE*)pwYi+I7n+Oj=9s2`QBfh9`-~IW{6`m!${8J)!K;GVV>zX{)Mm*KTMijbo(J>~Ge**D&v3&8t%V&CNb*KQ$!*;O>eID(cIL+56HBkajJk5Tm_UEyh)y10d4gj z=T*Q7s(^n4T429OgY#S>1xjR$OGIMOktHW$Yk7f}$QUKE70yZ^@&f`>gj~fQa7aoU; zNhWZZBux`Nez$)j6`MQuI105Ao=C%{ZP&4J4ZfQYNxPV50f{9@q%XHZwjB&v6Eh7^ zV{U)cmThP-NzH1z5Ut9jYL)#7cRmUA1zg)3fKMd>e9#8`ivm7Rz-bD| zn$=obzQ8GBvlg+%EuzF=@SePgwg5Z4MeNifUJbMm;CK!G;1a1*B26w4iNR1VIT2fq ztzIHgCE{1?+vYn(n5WolUF;HrF2BJLEfsGVl)*(I8CjEet{sXfOh{cQrZ9VM( zXSxR!Y!Jb^2^iA2CFpsw_92DoLzs_lU@7!PS)3E_dNkv31s#{+O@yVBI#w8F1PG8@ zJeoCOo+i}BB}Ddmg>bc8sm0m86ut<+-{_AK@zakn-p#v&mPd7a+zM8Mn`#iugaVnD zaOG9d`Jo6lfvk%DR4goNNH9~r;*KvJycR{`;SY#o4yi?k94YVT*VA-YQfE5VFO?={l!C>h5Ptbm>x3+ z#=8Q5XPu`oF|KYWd}P$>G3BzfC%DWfmt`eY_Ijrlr(Nq*96GDRw@rY_OLq_DUDK#9&619J^hFbT9S{#s1ZEA_LdPf5-?G z)kLK;#ib)Lm|G>MW0zv0m(CQWa|h5uVz+59#U(OMiA->bNDRi$$%)v78RsQ3L5cKJ z?EAUc3l#ep7rVqjcamebi{SHOPgCqGI6P9VlBIaH%;qG#Nx)uDI@QWpWcBdJO4DuV zL%FGlL!!Ox=f3@C(;4cDmM(V|<<-dedx_9^_>iQ$9a+VwnKY~y9e<2hBKC90Vu+dh zYUVndMU!?6(>7lP77s4!nR7(Zp>3}tQ)$V|zM@`@!NqC#X!`_z?B8pMGVYbc)r`aa z`KdtlPoT2NzpL@DGX8$Xiyx90SFm9}PYHt#*B-U1^N*LJGztB~fJdGZY*9SZiRUcE z(}dj-SlRY7ZJ4zRGnOz%*)X`gf1LZ!*EZzK= zMQ_H~2mWIGyv~E8SCo`RUy7?Oo_7w;#s)`lR{ZXUtv=ykjnjMI(PAuoo3cNrJJ`Qw zf{3aHPA%|A?b#4qmfF@Ii<)4|&6#KC{91Ef&YZ`aoYDtCGbU$)eL3D~piU*!ZUQA; zejRXD>$r2&GoS#Qw38by>|WU3Y-^2`Lt5+Zz=B}w-zE3~f#Pf!z6^Xq_#JuH&pYS= zWcS0yIaqN%r^spyGAQ_O*+letx#X4s*c(e!UTJQ7&(yv$6W7S#5QQ>?Ho^HfQi{YrO zP`ISJVV5BcLe?2+A?reb1@T+#MKz2>9j3LJjB_TtOb#KZr(K+gM}%a(YO~f+o)2}j z?-g-1xw{SZvqBv~sP8V1gNm*;xD1q!P_G*(ET#)(^;IjWBNrGs!UpH24}S@(feR;L;&X=$!36(Ej837#9aMg-}5Q1uMaObb!?==nq@rtAc0P zGPsMeA9OC}`{fMugVR^iR(ZNY*Op;Ndithj8X2o(7S5kksU^FMmaNii$#~VQZOIyg zGT_BG#!bk|L#H~GFg*}#*Hfu&OZU>TVi1RmeY#q8^*ctg=B((G+fbsL#zX$5=Q8ns zVf@962X6H&tV4mb>nADd@jz{7=?j@dgcmbQf0M-_xcO~E&_E3$R5yh})xlLz%%n-( zhp8Jc6S7DxU`5Y@MJBubI$oZ=XuU^?zd+0u$e5in9w9KlG5=DU>*<{L_ZLl zpKBkIid;vUWkuRsBHc%k%2gyAIyWX%6@@y{hR&cncaRh*AR2st6>T2@Kc1ouiv|Di zEu|b4DxE@oaj~WK43VDpYTn9&Q&Z+fEAGC+;lrO1_em93t>{H5X^s_PKZ)=(MW|L0 zY?HJ zK4}(PsM4RGL$Uq}M1RyJQOuc=a2rR4DO#q8HVLrM-$Zc^)J(F43H4DF6Q(5Gxshfv zNf#!sKg>*8;V2Wx5cEuq&XmA1qU=I{@rIvs2o^S|Dzf@k9GKua2;9L%%k}gdyP8l} zJ0g3!IIONgLa!^Mr$sRs{m;G7{HBYfZm^5%y)mKQqfn2kP^dU{aYaV*NvER)?pX?W zvjl7b9M6C$zdOlqCj7kNL3x8*i|6bSyD@zULIjcr&{?6N^HzLVJJXd-R5jWPO%sJu zS4U+RQxfh-ahTbaBH6VcU||!#6DLhGaR`$X&4eilH>UKMGv2*2f{=uAnt;UV5+)AIXCGh(JE zh?(B#U_&yVRDtAU#iiw1wBWj zGbQ1wb&aX!I#Kg*g0=Ou6KgC=gbZPlshKbZ%{Y1V-;>KWMaU5mng9!Pd{~@&HIp=9 zlCGIBCGq05$wV#Yo+Rjb8r>o2DH@$A373lFO;CT)Kzc$wh;FrPMx$9Mz8$@DEScl9 z`qrcza`YA;S}Z}`N@J%v*doHcl&g8Kh?_=WO7J=ALdA1ys+0~UJE5@)<- z(j`p7aI7YgC<#}fdrS-J6$^R|%XeV10S<|P%i9u6m|0UTjb{#rb$T;;83ZrVG~ge}mpiblc=pRk|^F z=@6}SYOOG(-$iSy=ig;&B`jK5aW`w_IdPtVqw+T-OxiUQru4gLZE35+WYQ^2iUqw; zqc;nBi$-Tkzl+wk2xeY{B`>~RhKZm+>VeP|>79GtRtMbZd{c?Ad(%bhRiFE8QFz_g zQ230qSmCPNa0X)5obNswzb}S*GHYE-j5N{!3+Ws|I-Zb*DI|RyP)B%conn2iL>NvH zJ~&fYt0|1|04l`>m;0?qB@(G~DSM1}uu*G;vPWOzMS6gJ!~%eis)l6KFP>vP;pM!ldmk)>8BY zf?#1^Qu(1p;l?JtZ)3yV^mMYp?!nkWdJ@*bF{Anf?*5^tPVDPvpPs}ssx)UxGpaME z%(Cta$L+V6MGa#Xwaecg|Mpv)(6P(K%r&aW&5_b`Zv^Gy^3%D0sAxEUa&#x-Z!)C$31ZV_y7oHhM@P_Qx_UmC zZzEc?wH)hzkOd8G4^NqWixwAi?zCPvt$C_HgtabfKtEqte;5YqC#P}h?E$^=3z1(C ze%^3e-e}k2v&X~^=s!RRdqDp>KCA(KvrLjbR&UwHZmj`X))ueNb{?QmD$f$bvRRT8m`psvWHYwJL7cg(o81l!lYWzuhZxb5n;Edrz{adrcT>M zr+X8uZF{MjNso*ddi8jLDg7Rrvg&MyDME^fumW2uVHVGc^8_5Fr!HX<)=Zev?`)JM zNf+HCZq1N~@d5N=K`+$k9fIDe(V5ckG?XPmv#F;R(bKm9(NnAAjD)uL;Am5)crnZp zHzWya*9l@uzcW{sxE-drog(hVfQ8iOi!)s_X%;3enh8_-oqDpQ4w+2ag~`uW>bU~5g}JZm?X|b z&7?+{)M+M6>353BlBC{b(jZLU@w1*@hokgVA?Vc_ohkj!Em5XCT<=Xz zXnW&Fa#$Kt+pB0xq)8w0DVpu~+}xzMV=#^(J8v=Ky*YPEm@m2z<^>9)7H}2)b)w&E z$1O&;3vp>ckJUuqJn7@B2CS{l)A=GPBQSJCZ+MmTy3~lfa(U;6~ zWDAoV&4elaPGVUi6q_QHhzK6Qg4Yyrj@3*ugh{4m!jyg|u`EdnOeP*-^4V?7!NHgPs}4~LK9F`F!Lq>*Noep#@R3TZ^K_a{kV6ubq7%fFekZ&v zakEWvb41+lao7gw`dXYX;V7wlWMtE;M>b69_iThEb(+Z}U6?Ep^g4~+CFo&|&Xj&< zrYsQ(OxyE_?Hxg|c4d2jX3`-{IyDofB%J7Rm?ETz2%BqJPaDOd7d4cgLc*k7Ghs@? ziJl(QI(o%AE*JDtjovKiEgGFE2`74LOg+_!o`w)C+urPiwzpyrQ>SlwZE+hVK}|YA zOi4Jm(P4_)DdJWG7PfbtI9F;W^}?hrk~EV0Si49igTi7QYuW!H4~;JoZDzL znKTKL?nTVxb2v(bVnHv_=uAmCw~=8MpG+w}L4w`QdM`KC)ai7cpaMydM<<9WXt2nm z?^=abY1EkF)`_@3*RZ%hi1TkaO6qK3lB1b01r1hwCRV*yVKS)}Cie(>y++Rv^h}M; z6tqix+OxXS)R+KJg=T_>gjp%%X#1u4G@e^c; z+hvLy7IBkB++S{Csego{q^=Mq)tU)Y&|t-9VoBX$GU*g14+(mMMlTiga*fUuv{doY zEfIQ5o%V`Orx2`N?;WX`6bq9Q&4ejvu;MeZL})feXb}-UyqOjBt~hVNQFR zO(O2@FY?x#(lv;5e*y}M?_J^q6ecEzGepQtEhJOW2<}?Q3X@5-FgZ%l57X#rf}XC? znS$0YKDw1brDhFOE;Z15)uvk7=}W3PhcHReOqhb!FFq41pGr*;%0-0h01G``DNdPY z(nFbwMi7qG2oeR2AUS;-K}(WilSzp%NfmUbM(+~zutsMJTD17+mI(Exo*G0?&)q0` zYIP(YTAV+?)M+KfgiaG5>anEDHl@oE=?(`9R5(GL424N+{UT(i7LqAwQFkq5hRGyT zm~6U%nXHGStRN)l?HZjaXi?*%TO!n$y_7oXrCdd@cCB`iX3{K7S~L@;phb<(#LA~M zQ-pL8VUUQhvx+6@g`*^C6edlY2~*Ib#%E$l;xL({2$P2e{a%e;FX#;#ohfKhD!ybk>5odXi?BOL=t`PuXn4*J`9J&&->#ZglpjfXB{Kqoe?~% z*dmLGINR~J+l;xz z9+*H*^gEVn`&7~mzoMJ(LAkb3@dIUi$0aMy;LR4 z7VC(ZP}fkXW3A*{8_jVPYOFO8P4{EMSf*0I5fTuGPi3RI^2;Q@9}c(Ir77ESPRY0d zeI-KBx-=fu8}$@^^Rl#SC5}qbNqaV6I{C%Bazaz+-pjUda~HKHbi9%t=p&nUL_PpA zUV}IT5IBxnLQdS{sFT|8%6om}5SofKl{D!=l>*vDm8P{#)$9 zv)U2ZCgI)SF)EBcy9~lqdVbppT9w>r6B=nHcL+6t!Te%M?!J^757Ev+mnd;q8KQS#g_hfm(8EJKC*q7|WDkWk1Jt13{w zZRERDe3e!|xK=cPUPJ|{>o;67ZncgXrkZJ89%}Xi7S23zsx*^QVNwnU*5>%14$AH) z=)cqG#e!a<(V2p=5qb3Am+YYeZslq(K9Kg%HIzJFCBtq(yUHBd0b*mT<5Y5t>#ngK zM>Yf6c{BW8l{aaUgM}NR{n4mPqRRGHe~J!u0EOzwl2G=M9jZxMy{cc?VF zKd@+P38jMf5LGqHsnTcsI91wYo+_os2hpBVy-c@rj**1dZ$OeRLXz^TT(yS}Lc?6; zT6{9OC%9^R5GHS~%Xc)sRa`k+I>{1jA3-^lP<|(ZNsG-V{*>>bF@03RTBe#tsm>hBL0OQsiD z^62th<>@BRJ>i)*zH*Pe@i$(Xw`b+ac^Q@CyG!F4_4ycUkWv2?(DD2?U@tHE|FIwc z@OR=rmy%`|h%d|WB?gc!6(%)gsyb#0W@6%3m{#5tnA-D*_Lcw^22dx?BF%&wh&CTJ z4T=dBib0~WkW#W_zi>7l^C;b5U9iNj=)B1{Gd`hPC7 zMd%XrFdUT&Oi8#`tI^a`lj!L&g0+UHZYmrpg2}(r=r`)%f%TE=`Z3H4aFqfX?wRn9 zuB{7IiW5(jSmO7X3G9^w4g?Bx{PS#fet<(6x%)GHT_$E&FrOE&CIv?GE~3&-tx~2W z+@Mx(qBjWonFMPW(UUZjkT7Z2Oqh~*)0xSnQ=3U66|87~0iC!n@Sp>Ul)2pWu5bk%2?j_xt%)KP)1{s4O zvzLUo3ro>M!rm%+B#B-@d%4Wg5E-_*W&_Om@KoP)D~qH{-(&%uk3}i03c|9HFNyj( zTk%P3pcadIn+3+cR&s|&f$qFS62IFeX4gC{oD-Qtntz5wN#kMDRu(JPjdIBG1u4+6s=RQZX{^q`}sy66ryTbd^Nv zq~569PN95j$ak9QOOO{3r1c!BhVB4#2SHC4=#waNnncD>f+w!*FlS4c{VB}WeOUn% zCMEJKYE+d8qO)i2P&zwTqHJwJlourmDM9H>`MyEE_nE!~`eZEVqd^6Ffq>pa(JnQk zv1XO;P2_vB=?l>AbEA^^w1s$)Ai62eo@N|IRK63)_xrI%#uK7brYXG}N@Lz=vtN1i zZmpoXo~jVNfm?@1OEGMd0{ov@&>J`5ZVc8EK4TcabSbRo3Cl))IO^+jnurg@W#Zphz4Qt{j^0>i)eI>J|yL4}}WvZHLOBG}K3KfKYgB7w^u}xX-(x zBE}}@-M$=p!gMoV<2NIO;cXt@LWr6@e8kQ`aVp-W_ZO$)2X2YVeb06KeUJ!WzAbnQ z_by@n;0iFm3e1^)lTE*k=+ik-*`C1B^kPE*KW<0~9PO`yEb{w?WPjC%o?umHAd-EIR5=R5R1_XO#Q`Byw;>pfX8=Jja9HrFMOpxZs&VVn_4 zw(e4V@s}Mmm6vlt-`9ScPzUjAKV8(Y`j>Hc#2=&%N}X>j{>BdWgEP`RLFvc%XEZ{^ z;O3*?f#PKAmm?Qv8siY>;pImm7bMfwAL_$_+1V^y&V))WlvL;sO?eUeg`9B^K%|69 zQ7yg@p?0g2_KL^*S;*smpaOBVwbO@*=O8?j@XZA=gpY~9m4h!tv46uu8defY%_Nk^ zCSiUo$E!8R-`eA{IA)t1b7DCT6OMgzaNd4a4&cH@J3k#+>-`G#oS3g`7=sKvw?7Yo6m;0WLgfkOwUPF;Q{IqvYQJBKV=@%zrEnkgt`KVO>1 zRlMJQf6Z#LQmUMul9D*`1(di?N7HLFWL|Ff-~w1u|5LN9pDx@l=tdcw#vOA8j+(HRP`4m z??fa|Tbk+!;ZkuhH5V@qGm<#YPNHq0(yrfpn`(krDEW!Or=x5Js4rC0t^$15i~ymS z0H{lbFjEoOfcW={}SWegTK&?>-f6kvKxfM{l$hJo3LILsP-O0pM) z*|CH*I0lQEsQ_arz?UPVMUQ57Au)T**;le7EoLtXv)7*o*1a)U%uEG%h63Ca6Cj$| zH$%bf7|je>ktY4Zb;6{aFeXP~q*48DWX02j@m(?!95rLX#>nj~&trJJt9d#Dy~Z|y z9!}67?8Um^c@6G3#s+Sez+KNF@Uu~Y%`{A)G|Zti#MoX*t;(U-1gC*;W($r=p|U*{ z;6@5CH6}o`z^jIU*?>6Aj`1pHZwj-Cgw?xebZ&DFsQ^PMz~5s6L^GR4%$5zbCDS?d zwlHaZ78rkw!k`>d-Fp@2VG49@oIugcx-jJt$&6u!Z9i1Kcvq7{z5e%w@ifBRTQE6< zy)>f&97+MUk6`ib0Cf2sPn!XdXU_om4*~r78HC>?;dvS@PytCeuzjU3S6KErYyVs5 zRD?fxA1wUVdw2GHmQL_L1^<4+zalC(^$Y4h~=N zBkRTz<#S~0w$I}Sd zqyw@o^g)eC;O8jtEjqB(xmDgv$ooQg=k4S2@s*g~)!8b8y9MED3X&@byWDuK5y#K;=|F0sA z^$3SW%Qkl{S_;at8tA`)FakdE!)7f0k_-GLM|s1;d*M9PhutHrTs{HO!&ToShRXIV zB3ueWcM{goKaZ*hxE)QR0u;?w$}V-LIZQwF5Fd|N0vQIq>r55ZH~uO}9&I%JTb zeDx$ymTMH`xvH>KfL03d$Cv=vW&v?M$TV0HIaF>33*s`0aEU}9FG|;Fmv0{uLmeI_;_PYdFw~8Vy%e1eTd0{eLIF7uUpQg`s^~gg!zvJnV63 zxN|51+gXt}6MMxl=U4~r;O)0Td1q8QyBE}V&-19-htwAS@*9>Qs^VC6v&z0>1pK)_ z1N;^Zj(k!ThYAp+0O!X9h_X81OAO+`=SjrM30?+w%#Geuz#D! zj~W>_-uh>BPV>K0WIaWU>S9J`;4BQZJ9F3PuAeu?Q}(T=tlg7^n}@b}yz4O1ZWn9G z#RI`%{|xmC@xn=%J)l>J=}qAopL@!-c(OiltxQgHtqD!@{}Use)_>FZ&UjDwoqEhJ z=+}g4MgrW%`c6+x`A+_Q(=U>PV z%P5ff1QKwouQ^d)7b^xRa-~#m{)@}wdDFZ#+s9kyZC(STc>Qpy2m@Zl!i&l;Wf2M! zQ~KQ@qh>^deNNSCx$Y74{XO2UUaU2=y6+iSGynD?6x~y$bQM2>9Nc#>O)%bmimTSY zCp~O|UyJFI5BxgORXfq8ek~p(v`Mbo_tC+I?E(x!CjTI+PB?$i+o;_FgTuR%zLb3=3b&y= z1UX$IEv86kt4QQatrl%kQT~^c|55PA4p!gBy;;3fDar=6IfWp|bW?%k0(Ukf7nsuT zoh?=_@CgDd$LSma);QAv3ulBlLp76ZVUnY>nkfm__W2-z#e|L%0R68XX7UUiB|?Uv zXKHk&^t-5Ui4fx17InU~9UnlSL9hXCKSWhiHJ>!$ldkzNCE@aZ4{f@(r0B&5_ldv$U6ZAzIy@wja|6*Og*;G}FsOk`cg~{r+K1deU znlu>03i@T;rh{VWh@8*HZz#6=WLswLrnK$;O~Te1Lx(AIr^vh%u+VQ%oZB=L?o_mK zZ$ec!Oi8#x&L<44+@o^_K>xjA{3kGC4&Cy38Wdh zv-f&Y?Va~PwKIRFd@)+mhdE$~W-56D8rpYkP;%fOf-xoWB{C-ebm4z0V4=d3#L3f4 zct;wVYt4iy*uDo!9HX^_beK$1gvl4jGn0?uC@bh75VX@8ohfLiN1z z4UokZtEwBdvY#J%S@|;6^*jb^9dNMvB{%a+NeR%LDZPP#&dUOf3Cv=0vt-AByOAAl z?$E^~+vS_3g0kWJ-Hj+R4V)F;`HeK2w@9M4Eko3$615Rw)j=uc`y~0^VEWShJKa=j zWcyS3w$4JLGurj0vYjdDeZ<$9l_T*^drt=}vb{(gw`S5TOjcOEnO3XaP5MnP}V=uAPMBR;w%0v`jm`W$o^7-_F3SW{ICHJ^In)1dh<1-*~> zd@LzKrWEZWMW#qGPMp0plNw=CrnR9MlVm55JK1&XF>t-%$>@-+!c3F{Q~GcJ)6;^V$&LX?)wmAoKrIW^;-2?Z z=42TEvzSm*>L?X;lxuY`CE=w!^`^2LMA_#676x>NI3CTUSeTS(CQLzJgFO0gsB26n zb;4v@E;IQT93?`5pnEhrQxaaZ6YmC$KN2i*6cYl{)h)S9b#?EO>?yhv*)!%x$sSZa zYI;%m9!|dh`5~r>IbNqVThf}N)5;WdS;(V*O;nhgs1{9pmBX6o66bw5N+B7-BvUhC z3c3REnOLft5GjBCHwCFwGkPrbmQ^LNXo zDu3^jNLN#&TtsrMq#1u2u+WR?792q9S0o5gS&VJ(T3nT*~yi85f5RS7Mf;B z@U);lbq7#yRH$sBxLz_+2dt=Q3(J(?&q}np6s^FF#xrKhcLw>6H+{+U0o*+)3e{<$ zJ};>IQoMhCYZn*l%7!p6J)e(6{#63?1`%kZ1PWPGamw#Q@_Q0~cuRK-R?HTkHWnPP9jxt51nfm#3$J-6BCA#}C)(kxXwye<|$;}5X-@!#0xST%df_Zad`Hhir@to(mR{-6IFg?L=$ zOj`E#6RKH>oo4at5Po0y5#t5K;KbX_tnzIm-=(H6@43S6ErPm);$3LQ z!;WRDjra+@_axAj6zCWPQk6$b!pdWGV{G9LScUsmsys}Kopb~UVzG^q-Ay{XnSxGx z{Oq=j$zd8(iWt*Bve{za5$AO{ib=gNY0ylVf*yN(CYDY0m^RfbHg$ub&(Y{Lf?lW5 znUe6XuL2X@Bk1V_YpQCn=2Ibjsx=>`z`n_&ZzW<$(Pi=p3!moSv#OpH=MgwcRi(nD zTr*)x!dt&OOeUSeQ&zbL^~_{lQ*L*G%=Vuk)o6wWH35jZl4dtOt1a2`UOK((X(0piu1Q z38&~qS_9|Z!&n=X7y;f8OZY1hr1*6qQE2wUa8$F$lzz`qS~k^8`DKlnTj;|S<*Zna zQ#8j8;n=A;G9}?6N}nm$D2`o+qNC#53o;L^Sxp+JW6Ir9mpxdEy6mdRFW7ZWKCa3= z<;VW6+GY$2Bh7qiv!y}qJbx`QFQu4AAg1P(hyL^6k*0=ah_vK9Rm*-OQL`xOk6}Bi z9wn&&DHPz{=m6AWpka7yFW~#TUE;@1F&OwjNPj@(F;mNfkFfFriSzeK-R5!Lh4cb=<4 zX`ZYNP!-q=_N)sJ^sMU|2zEJ?jDR?PUkJ!;yfc8}34ZLh{dP)3fP6lxgrR1-E+38(PYIIbN2B1<`E^F3x+a1ypQr#eP*O`Zq zXxz;WNx^8>1%oO5uK!s@v4)av6~#JypwsqN7FX}Dit`E_#iUu7v}h(wNx1k|VKS)} zCRYpkY>nP1=uH}(DgCbcSt7(674Jc?0iRaYFPXL!^}?q?^I=ND^*?UPELD|@6psTI z8hc2b2F;{KnAB+|Oi8$m#x0t~gxWQrPZ9KEHF|}hS8H^ppfM+p{#(M3+3#tWe$QtU zY*k51NJ=wWLiI^SM^%!}`Xc}5k&|7dQM;N*!j?{~+{{@BJI?!@Yc8oKDlqovIz?~B zc5n%!DRG((=grvDo*8%z4-1SDmb1(H^LmL8i!V9<_LPGQR%nKA92c5ah zpb%123|BcAw$`z~X%Al?Y}-SrD6?EElPL+83k!&al~o>mAgk^MESx3c)M+Ng!lXnq zVM@Za!fcaCjxaew&=1k*1%mF;=uAntNSJ1>S*T@~w-4fcwd$wAlCSs7N4{SAPtI5J zLe{BG@G8I!6yPKT2yktM3OAQ4_qik1qzJ^>6k?n}kWon;b}6&tIxJhH$k9?TCE;RZ zhN+`W(a~_gA|nQgv-3bZw=;xEre?yFgo}I*lSzs&X#y;mJgm{v1U+4&GbQ2bUc9BL zQwdf&M)ERg3C-Jy@{2QUHTy%Jz)|b*{_6qAc+Expd0U z;tUlC9SP|$RGg{0B}0;tlwkf{w;%^+b+Hj}9G<~y3qSG~F1!U~7v9z6P{(1YM7oF~ z9U_s)i@GVaQA~LsPu|1eowxUmL-NMV-7Auc7LiUDB*U$bZ+$iW38Xbaj7h0n; zCE;3Tk6DxVN=-h7U@cXtEPVD1m4zcDH{QGn>c0PDI}7c+uC)U0DFGj+fOE}&G_kgF zoUNA*NqMJEIa3m@lZH&aw2NM50T$>b;+(0OgoH`EX2KM7YsjN-LuU=}n@uJy!emDV zGx-jV)t?dc7LDFal>cf)@qU7}N~>zx0z8iM1g@j$Zz(W^C}gYYXY1fh^~>Ww1+Cz@ zRJf%e8fDUx(S5up=)7qj9hvcUQ5_U?2IMPDU)TZ8j?4wd^g0(*{kl`eH8?-;dE^f? z%`0b3*HD{E4d*^*5v04`CJbf5vSO~|d06wJgl%2x#E;1&^cLne;AjFoFCJic5Nnh4Ai4XfXh2|yX^A5{!|rkk_22y0lgAXtG#t!TO$>c z=4zd0rX*bTtufPFCuyDoSd{m2aW2+ON`*e`YLODCx8)P?}N{D5nBUpHu>#<9$t4O@UwvQ3A`@BXAZf0#*8t zQ@@J*m!}l@=O8kBpfaHTvZ@GBS@2K42bF~)(u2+X)6UAbJt`}Yl&tKYi>z$vwCi|l z_P{QW0kch24aFT6$T6z^Cy==s#O5~J3Ya4S=TpE_;{?o$33xUI92Y0xUNHeDQo!E7 z|FUzc%q&=<2dXW7pg&}30b6Tqh2a(p$eQN%uPdY}H9m0Dcs1)^6nbKM#5aKRO`G^< zd)p>nAok(W_Q8~d%izVP^_7VA-40loiBFsbnn|`W$h+|R^bXA*EXqR65u8fZ)WTkibCCIVIAx$Cfi zq_F;m^CkN0$*8aU=nKZN+xPwiE4Z>$=O1LVv&HE2DChzkI??Sv)|^nO30=-rum$}c zdL6hw76)^labp;As?=hCyB2j$?c12NdDm6)~JYlM;q0bU-g~V+%xKSvso{>=(K88^g?-)>_ z4xmsyTWA?ghD?e+jOd-9=j~H3!hJ~LCEJ2Qt?qkcIEpD`PLi00SC4g zMCb;p`Uqc_B^eJ9aaTBGI|V*{WIN5`IZJq6L#W4URP1qR3)ehq$)-Y`LZL)kki|Uj@!H z_E!qz3lwRgibM&+X0fvnG;i|U$(UNNeF6Pd+CXtU(QrztgTB*Uiw~#o!LHi7=zD~# z_789)V?f02vb-$U;yv&aLKt_nbX4d&S~lRfbrYNxcl;D3E?-X(%pXl@`>ewjS1lha z-`=a>yV3B)^o-xVAyR{sDE+7lI;us~Hj1!RM@Yj^9ud0FiqiR+Rw8beSa(vanL3tA zgz}wBz6FLa+G1eh1n7o!;S`t_*^W9Fip#kC>pd+<9`%fNdd78nhG4S%74&4+lB(8q zWq4MR4|kl#eIULbe`jnhx$>`k1yuE}YRPi0C7bKqFZZn_n~~2j(2uCY#!VXvq~2YQ z!a1WU&X_{gGt)2?sjfF{fZ`O^o!t4ezIfld?N_Dv>~<{~QxPi`hexrtG=Pzu1 z<^5S74h$F5fnlVAu8D)8Rbp(c3@rphYo`(b-w+I~eHVt-Y#UmO#>ylt8+q@juT;e+ zv7xCsld49WMUw{INVEJli@))l|8B1`d;Bp4MCqVHgL3uzXUVf8FGsF8Hgld)$h^T- zgImz#IP|@T#W8o>8TTH>=s8s-m3GVhuX+ycVJhK;sISN9Yqz>RyVUbVQJ`nopu1V1 zp}ShbvJL2lsIPLxC$WuM^=(`m9Tx? zH}j5fF12i<%f&{QTnZb#aFe#tGqB<=MVKN=rFU7bs*F}jr1L4#1Qp2|jgMHPeDlb6 zh~bOMXn?oNh3g|vj_x&_i0aRJ^W|v#=3WDBSa)=1NGG{b{sMPzpAU|5teNS*G7GnDn5zrz{;|?b}%LibeIjA$PO&yifacO zhT7?7J9tg9bnzv~w6oi6JMf0H^738xtgqc7x1=EBj6((32e8V43c0|MfpZ1xJ-#ij zWe8eziiZOslQN9s29>2sQDRNkAET`44Pl*1tlw`H)?`iHlcU0ik5=KgNcaygM))Vq z@b)#bMwPH@OIS1H|IQMwCoJ0%E{*y+NAXE)309`XwFFlbXp#+@$Pz5`ifak0QtWiI zC43<2onH#|p1RStggkZHgt|jCfY?MXPMfTQyPKy??ncn4EFCpjWoei296~(b+hCi3 z>f3)JzTLCn+oF9{FI~lboqX>#efhpLzJE5&UZbbas0I3GZvS;>+$tn$PNHvrr1rTd7OPcdyee&gdCRzcFvOlfu`d7WzMy?ws7hqCkE^d!QjBQD6U{FUhFgx!~Qp zgq0VHthwO_(`@|T{QQljxiphkdK53-MaYt+sqD&GUpbV!36T`^CzRA&&G8kT!Th@~K($d8 z;wpzyjP<<}@`ku>y$_OOTjSzCl6$=C)&N{@`1t7d4}Fv*2Ti@0tsKVUtZ8ZGZbwGo z%A*l$wv*(+{DX<#cWap+S+9h;EGCqLLcK1b+GQz#hEh0Kle-?HIbJ+H5S1ksPfJMy zLFeJ}C3Ax6cHU+D6|;Ccr38c0MH{Fz?qYOTYLrx!k$iFX?iVDh=7_G26xPWY{%8}- ziTXN(zPNJQ%@^BZ1__GAXF9)Oo02vOQ)Eq>G zHJCr;JY?SJ>IZvIa-^MAryWe-Bb_=Q)@mddZWu3E4BI<9g)d}dZw z;O`lgSK{yF%1iNgY~@V+y{z&~{GDBS8vYKcbmMPUCYtdt3{;R5V&)XD@M5TQZ2~l#RQ%1>WBo?gA{_#G|nzF<_C6jQQsH98y!jv}4liZzQ#8jGt| zhSKo?^yg9C!D&*p9u&r1gQzP}nS$k7ir%-$x6mCXdWxXa>r2oK-`wUwOQ6nuaVFz( zMqEVL8lL+ACi_r7m@g0>W?pEApN|QLsFzd(f2CtW?_T--aL*YP=Z=^3eAA z3KCa8W*G;pg22`g*i`>DOPe)=hDLp}dpsXBJO9V`op>IXR0ow)GTz8pr^(#SHf@hl)bHP2*wi_xCoe&kh{KW9G*&Qf!b?| z{Ky|%WY`wo*sxNYmRm1|a4lKvkRMmC{CSB^> z-kSMdN97RYwl}hrYA!2`y8-tzGlqA518p_MSy`%kubhLrckK#p3LEIjXm_p4dk)8> zyGyJ~PH;GwLg!KFJ5^}%4a}%j??5+t-L*+*XZgmIV5`PoDJd`imDSc9D9%K3LVniR zENq4(8$_LYoO`LOb`m0c!KV9OaA~xYsV+u%lPdi8ZZ^!8)@X1aNbQce>3R#BNl4ot zif??pYRpk6vv&DjppfQDBi~Fl4Y^3quBnTJEu}T_hNiagQ49N|sA>r1JCase)>mC! zU;>Wa9^5893oFRDP5K&g;&yA!I1S+a>EdO~KLDv7WpY5JGjc2BrGkm&*iKY~W33l? zCfto`aM1>;Ew~|6chF*9D8r~d=y{(RVY9aAMQssury+xSkc2LX6!rwC^%PRe%jQgb zMd8y6W+N?TtCsE9x#<;dWx1B}ZY?pHpkT~slB;?fOkPqmamx_ic72pWn~(szB;GAS>lEXSVj9%3DP~s;hts|ahOQbv5m8|r z#pJ{_j!A`h{~b9_b{_~fO$1X`l9JG#%aXlqQnK{v3?pcb}eY9NE0KuPknvaM5d zKg0j&D(ANg%QnP$Gzq?L-{*LK3TOQ(Q$C}W;CG(K9zp-?%@ieAoJ!pz4EuvCP~%TM zZuaJdLj&&3-q5hzSMXqfs`Q_xc=sb7RMZS_PeV~w81=Dw3Sja*w5)muFxgGxZCr;b!-JZH&ymv>^!c@gSHMe8_h&77E4q6oMe)bnj zs#GOa>VmOKs#JcPDW;ceg+h92$H%1l34&|@B%4QS?kfw%@~`1jayKj(u_lM~63kyj zp{_SV*`X)EN+kj~XIbxip{HFcJ#CszBKfQcR$3OQw1<&m=%0f?-|JIz-(HaJDSItI zAH(@xa-4~K)nj%|J1{e*5*EG;348w;t&upmY~4`pI>2oz%8Gb`M-BA^MlBkLtl5(? zBrxh4{9enAY!({3?Vcn$hevl?OkG059OpFnOJ?VaLMn*w#o!Ci90I~yXMs_N(=Wn4 zh<==voZCJRSr0X;SjSSVi5zRx01Bem|2qTlP+xm%@&Jd~w>DdkDKn?cQY{GGy^bd& zoP&Vp3645}oR}HA#p6&#Vb&wXnlJFr|Jm#QIVs@&x$paM)zo)@*fbi$9K*CmkN+an z{Dg7Q0!{PN(JH>h&&yg}Dzc*B$O z2HZG?9%6NVxPJ<6H>%vfdn5}SxexsTHiO8W_|@us3I2}mtfHW^956+};oHdZuPgGu zR^$&A!6c~lkYUNMcsCREb^!-Gtb+r7TIBC8@^=;a|78Sxn4Xwa0$d^jJWeal_dKNq zIO2xnyrVGfr2KCq{~O_-7vpgadCZLCaW;9l$zu{8A2_lyyL%3%CjJU4rY4T##NqwF z{}lOqiu^l_#3dtfks3~wvmT+T5TW0SeBJ|*@I|LG(eq`ZI*}>4t~C+DE1BIVAj$;- zP$2;C%2%}jFj1Y4jRjZ;0Gv&6epkjUYb1V^#IKY1OjM_LW8=>y4F{c{N%VS&e!4_& zkmyWQCyZmGJHVbS2^JT^@UszRx5-dZEfQ;RuTwRjZWf91VY+E1nytaE~xYcRQ`%ZO_D?fAht)n zJsotuBVU~oy+fk&S8Vh<_}NMf1+Yo7Eu4q+NOEMLutBo(3oM%hyo+#x&dUWnEZ|HG zEaR_O@Yy7B(78jR_aH)%|Jh!NM_-VMzhdKUAA@)_$dKh&ta%h9xmNaqBo-m(qz{@y z%0qwOJHMSqa;hKt4lM|8e4GuSowo5V56oCj8WxeZiN5xfH1Ygb(79B;XaWo+jEUG; zPhYV~yNmP{bRH=YcybQ&l=4NhbBNAF?Ea4zeSeZn)j(3#^Jz^cJWA4QSE0%;h5WYv z8Fk4~uG&SYrr|E0fI&6tmf?9vx@u`QH8L6m@BHPCs&kRDCuC74gSev{%U7nPjEOk^ z5HDrEbiAeEJZ+(fxTb8as-x%Q4_PeMmn5fd&V&A zT!#G&ACb9$wbT3^*5N&B5;N#LLBKr%UcipbW5#C2Tfd_;KP2Fz8T>8+A1!HqL|}_0 z&0+bXULi7xi8$>UE52lIEG-?LfM?=v!RgF1g=!T%jBzEz?q0DIv)7G z4E{|zE94Re|0h1WX9IJ9pi~Iv{_<5Vm`qgjM6n6pgr_E<2f^HfG4CSG5o_*6lF@++ zT?9M&%cCe0LFZ#LN!gfh4LUc<&pMQj8dSA)eKb)4U~ZMs6QN#$F`*l^*MZjR=?NQi zXvq(!IQK+4c&^|iH0z##bAWuKxIQOIJBX4tnvxc9e(^g54o<#|1#b%eXS)*#g7 zn*j!B<};CK49~VBUi{(NH%C+L;cOrT+aOpQ;?#$RQpCR!|aO%AdJ^xSq}ma{7Z>((0P%3m2)z7*?~Ed zxCEUSON0uEez1I1OLQjo(|!4#p4kjKvn4_eBEVAKWTBp|ljuZ*F8C|994*45m4Q(w zje?S$Q}8#5TV`oDUPMuVqCPmEG_<0gp_RT?HwZKl0nJ~r(C16a9zj~5mqw0$(7s4# zk^j$45}k;M&R?<7zrrJtfl*J6M3&nv*#t_Fe?>E4`B%3H2z>#BzhXh&gLu%+BuPVv zLI#}=%U8QZXJS7+jWSL{R#X}uMSdX-9f*xIbP5Q4Ar1T$n})ME4g0Qz8u9R97sq=x zEb-_I@%Sq?-j{e&GBB!nFUp$d@Yl?m{Ui}R3?@fMaoM_n^^y|{_Btf-1ou5z65&7v zi(E*NdX~PB2>yzVcQGeoBG~I(co-QA4Wuy$KhT<>3s5Y~Kf}2;g3f(7DZWfe z0~7mcr$0&~MLfNynTCBO4cU^0908#(2*O{nf^4Q2I)lzDISuM@W9LIyVfWbg&J;*= z4>_^X&*L;qL>dClEc`Vy<4$BS^ihm>u!s^y*=1{6AZdvR_fm=wbnYc7E0^d@?591Y z7cT>&9vWflW4NTDLefwzAoK-&@K>xrFGC=3t>!dv)A$E$B|zKLf73XF`A&@Dy9`-d z6r4N-Fh%|DnA*yLK6t- zm_RV+G11V6sNweWtzBk&PgBW3wk2LZrQzA8G|EhOjZJ7FLL1-7LJc1Lu!h-+hUc%O zZn@C~%Z)`e8PU3yX63@aUxYTzcN8+uyPEzcyL`u@BY@FC{uQ%l&&IT*w8GeBB42Wn@E)9q!O>+o6d_)L z{g}~{U9~F^J`UD0!jg^`ObJnEzJYxaSyhjTxEs(UZ8(P%PfM%+Eb>Q4R&24yok2C0 ztmH{iFMkUPlY7`i{Gl%2c}Oxrt-{J6P$pkaU<22p ziHH3$=FC$?pTGhAf&jHDIx&>eUqWM3lL7BksqD1j+_D-6+A4{9GPsbxOh+= z(Jdy;kj_;3!qnCY!)a=(YL8auQTUYYCjLEi~PY1 zgbh?>2Bu-5ItQA{Kp9B^&EHe!OD4Cyjz{r+To?K?)NksR$0hD`-cpi26W zGevR}V+E0Nh=o;lkOkN-K|3bKPs0LB*?$VNdW&e)p)CnX=6*|?efKAV<-U>o#=J2_ z7)z9G*Fg*YzfPesqyyfGGn5V5&eH|MVoKLFM35S@6?vj=!)hy_O4InHT_yBM8k2DJ z%Q%j&KCN)1MF~g0jBx5x!bM$P1LK=~K|W^CxthImFDl2lK?&uU{yJQ~POh0@MMgBc z++TH7pYTs}c(j`^wDFrzcTTRtSzIv?RhLoqVcFut|6p5u04s9!h><)I0!M9M$|Omm zwl6KOM%iUbTR7Ct942{!{iJZym3>l}iWGLQu@Dvz0uDcIXH|1o5Opq^8|GoBbNdIJ z&K#A_3lE7+Cuc=eI#ZEOEcdyVJP2s~SU3x%F%6YMI`OuV9}?sf74m>S$eejm$S>Ro z4TxEgnqO+8v{fD3uM##~5u=2v5fLg`QCI(>Bu4d34k9LtHc)wwojIU2jC53lVb{vZ zd#0o~3Tl6pL*)<+2z7|wHvLgVCD(y%7!?kkw0fW&#Y;x89 zhh=kC2$Mx|nEXi|6>SOB+>Wrm(1P*Mu=>ic0SS{0_c2QTy{AJ%Z{A57vO@Sm`ZpIh zEaH0B(+Z*6UZT|@Bs2=n5cJ5@PBZOmZ#ZjmTbZLEZSc{k)3#zlQq zm{vBK7T>4Yu+W$6{={wihr31uYtGAFNF9k4b7dJo?QNl+R) zpr}qYrT;}TE*f+Hl+-;DM0m{ToFX%5JZX)pGMj|LyKZp8|N04~%KZ~@BbuUf|Uyrm!u%O80=NK^i`zxrXXvg`>VltN( z!DQ}Vg2`pv-#`;k^vdn)s_wNL9;Z`Pp25jC0EH@xd2fRx8_;aaJSd7_8Rsj)>6g>M zX%aX^1tN>%Ek*(oZKAOY$WcM~|>x7Dqmy zgqo>AVT&(PyNGlMi8Sku-y{-sm!r1;Q#M*ERP05h4Y=zSIT4*EYzw2r7y36wmn(W? z`b7@yN8!nTb22jf_IFsY?qt}4gH~MKi({{gSbQDV&SX(W0g9=`tTR}uU&f8Ff7ziV ziFCtatk5HEylpm+DcckNci92HGDf37?66O`Q)Hsi6Nre;t){>)+f{ zslJw8V9@!1d~pjKZ@tB?mg)||x??)D=jGo?{kDMX zFNsYUHVaMuS0Pn)`FkNn(ROAvCQc;5yPDXhqPG4{tY@nS)-&#Qx*?W)JY}dTxQiB; zTK}^-VsR`OB10F7{Jlheo+5u9pvW|+L4_`zBG<~=0l!0er)@g>!b2iPA^ac+*AT)Z z8iCp85SDj64$w~RNby|J5vI+e+-KmmQ`ctdA-HN^L8FgKekjX**a76kt>lLvh@}HD z;pi9d)8??*Q8_Zq&YWYQ)r1C0>29BCD0PsPVKfzUrUA!79N~etQc;jI4P;97Q+F^^pQ~3 z+4A>084^zS_mOartCo5v-rhm3B~-}0y#p&R!yng@r}-a@<@NDdI%L=xUuAj4pyAjlD2-!mdT|*aqQ-tGHxR(c4AI40D!ndyt^+ ze-#4+vM0Ole~}4lHh2Y9II8YnzxG6+hR<7IRk6CF)`wbJeJ3JDlGQAbR_3``D<6>) zS1XJ6rzD_akY>s5it$|o)aKx76x{82pT*Y#r+VTDpd!nAP~qWgk$mxbLcG-lTeBmX zC5>KN*J3D&lJOTbKkNO=xTaqh&Zzl3P6PMJRAz>HjF^oTW;|?*w;#b~_Jmbr!7QJc zec4QH9k&bpvGNP6G-36ke5KRZF5A*PgB3dLj_|`PNUpkNlq;^48~QfdM+xD|^VLml) z)oRDRS(q@Ch95VD<613D7y7pdSuvbahrU%8?;4A4wazc+vO&hbi?We|N*k?~hfIe0 z`}W|&m(3)8OFFjHV6F+Cv>Gix)M_*~07tJz7y3g}xV&HyI_RrM9J^qZZYMMMb(pjw zp;0#5;c|pVZGcTX5HyS(g1v*e=P(eJ5h{?UkXsnUeOEvwD_#Zi3qLBljOCJqs{zpG z?BOFF1Zt!)tU6?E23gFxz1&8ydIAkp?S&s-1QH$4ZwueF{g<8HH?;XKMND`(ysPO~ z>cTRKyf-2T*pqua1fqL9l@pa0cV(CXcV#Z@NC}^$S&SwYL)V@_iFp<2^a``?rQxYS zZ}nY3tRsujZIIv8=7}Knl%U?Esu7CPR`VF85&x_pbt6IWZCWs9(e@gU(O;ttJLmxH zJRZ&CQMdlG)3=F7g1$|z!gVwZ_jO@%83Tea976JKvalE&Ly+`*1$C=HEhnf5FgQs{3lMCl4HBTGf=Zdl5d4*H)b z7$ieHbLR@;y>kVnuh7386FAeTF2J^i*6_Lr;(9k^D}yI9Nkg@Rjwo+O_kGBnR5d%? z7XE&@;TIym==>W{H5N$3m5^G^(DLT$GAcrfnQEKVW#6lBq&DJ0c-O3^zcMbl{RFPm z(m0m$UHM9c*gkdEi)73tQ%+eq2@xY@8ON4i9C7)!|Wt>p$ET&xqg7VuWs(zP#1paqL=Cz;?9JoEBfqsQt9uH1XmWyG_(+gi|ID`3_2V$D#&)@#K)9w2jqrG<|EB4GqR-7`A4&z*d z^K~IioK8LNn$W^QIE53!QI1Xt)}4c385Asz=NsfX5T2MlY@}_lIGEGpTA4f`@As}{ zIFB7eJRA>mk84N8k>PJHsBLyFR>`M&y?KP={)rJ$cwPZF^f{O^k(eSqg6ZX(|gZTwod0gS~~8m zw(*_4Ab-hAUgp9E5zspN2}(IM#i9rxLqXp=qO0ACnSIu`QqvV9Lw*}$MVs?b5Jtdc$J%S z1;NA!+l#Wcd6KZcF$2ejJ8%S0WeT10#voL0J+f0W=SnnUv}Xps(c3_(F5p2<7x2{} zN9}5`?iQt)IVv?~MM~@GF+-2Hwvu+Z`L>4{tA$n!F>KnCOf$L=4HBpWh1L%A;Ud+pVP$}m zY%i;NR`Uq-Rz$v0B6BA<=yZ!6abGu1&zF|06jTaSNs0VLx>L@`B*?GQ$rr4Q2QbE2 z|A8&WA7@P*M&eujQYxEvzm(V8$D!91B|c9V4Pw~*>^{eP0 zhrR+blYkk2`Z6pxN(XnWiqgThA@Ou@d29+EJQpdzyPKr<(UO7!Nx|)s0`BHvlAIGF zqs#tMkQ$wWIdM~PP;3guQVM2E3Z8`RA{UA!1*@|8tAts{+tA)87yj^Kln%Z|QS566 zpY0Q42lt+X6nyqG>tM2^pj1*&E*dG96fhC36@B%mgA`5yu9X6uvc}8frs9FvRE(rl zT*Rr!FTg3B@IH@|FjX`y*&=ySA*uR6(pW92Vq(wmV<9uc$} zL0c+lb%MsksIBrg+A={qkkA%tG-W6Cf_A!~H3%9LqjtyJXr~C;XhJ(equn5BYZSB; zL2D8;CPr-&D&^C6I#r^mT70pWFT5X zV=^#if;020aHazFn!8l-oTYLiUXK>{Z zUF+ex<64Bn9U0(BU70PP4#r?r9dIdu7bL#>P;?_+n}d!J>P_*d^OKk!3M8YR!`ThB zmDo3Z2Rd-eahA#Hx?=!ER@XF~FF?}>=vD%XrcWmNl|%=Stjz=`*Bn75vn||IZ0w?Z zmOD1i<3AtlXmupDxgUdcbarZ}>yCes019`e@cU>Y^?wY0ioTKPJFXRefr3H#l{Z!7 zSET}O7(gP822!aY{gGm{Qw+sH(QhGovw?KY71!oh?FB{M_ZcM-nq^Gv5mFJ$1n@+P zc%uQR=!Xz}mI-)qbv|YXgU(YKaDW1|;K~K=yF(By6VU?h$8anW$A(7vLb#GadA~#6 zL*U)tR+Kl?2Ez3*xc5=Sj%y%yS-U5A9Wn@aHzjQ=^l#SZf%@zlfKBk-F6(<}ywr4@ zySXye3k!?fj~ZBQ6OP(%GviFyec$~y)(h_5t);s&aBS=0l&>tw%(J~ zN|WWmYFt3I7P$(8!cAVSxo;r|?oBX)@I78=!qs(3xo`RBzs$3$-uW-nEnP43{-#h2 zDfR*`6|}4;CY+>bQ~Y}e*5lrRIg*WsWR^P;c*U*3k)bV-oA z5xsT{BC&pei?^VdH^a#&Ti`Oxbw?AFgI_~oGuKI48Z(iWcdz0?(!Poc1_qhi4wFK; z*EG9OR#vn+pXeIwNFtyX3de}kSY=+^wLxbB)pZo)gM{E{ZD<(QOmJ%@ZMF=!U-r9s zc+syZ?KPA3AJELcqx(#tI2W8uf05d1N$s~2klG1WYI%7#I5}UXc6O0YEw)eZ_ynmH zEV&}0$p3xpl`HE{=b50cnDL6b1BY%&=tZT4Lk>w$lhsmNhJP_8;IY>6D?*?TJ^`tS z-v`e{IJr&1*y)W5*ZtmL?8LzIy>+@m1}(w-R2+&4zxK(mY7~QW|3p}25UwP`lLp~W zL})Mw?L=5?5Pl-U4F=(097GDwHV89_a5e~5dj7w^an0EIMn!6u)r)NGHfQu`E{`q? z)N^@3-0$a9L(48$eEsgs_{|#~g&|c?LwAZ*3Hf_yP13zw75e2=4=v|}Sd zd?A02wSXjBLS!%i8-Y78+3ZxOj5gQBf+M2N2I3@Z`w~%zvP#fSL_g zc&KsLA*WHzw%malqDYe2Lrs|zQ36mRE$88}q^W5;(c3|69IoQwVOw~}sVMk<=fD0z z{UGOOmBac<=6DaoC9D8yZ(p2Qnzm$^Z+=0VK z++D@J0wBm??2CluP>Qs_2y!?)TOG-5&UaM=GB3KmQ(VINo}h4JOMPn0Wl>jNN;&$Kr(2&MrC}1ZA{2KTyB8sq+M8V_q(L^T9`_ za3g0vf5`jg=xi43pp#IsM`|iUwK?CxC;fxZM)JXJczfgbiz?K6Wk_|K^KlibC~(m8 z_*oP8`FfH5Sc-WDVg{VUQd797 z1)NFvWfe6QZchp~0pS30IDugDAmBU{zXGGS48vpuMr)Y6r)${gm6SDHevl2Po=DZ5 zyBBAm5h~z(1b?&E2b>SWP3rJF?}bb4MU5xd-Fy9rHIu=<~eFQ z;WxBS9k4UG-Z}}U`ex~Y$)65|D8s+P->f(M+kBgVQ6tIdJ`flVVECtf7#O}0A-vm@ z3S3KD7tQ~0Cn`MGL+gfYtKC#dH=gW7Sak5xz&5sn_xblE$gPMD6%NFlFM50LBREVs zLQdSBEi6S*6cMf?^gLll{3~YjsQ+mD-u8cU5 zQMaJjsAx$PZ8V{d`gjP`F}aiePWv!vn>Uh#@yNcuEelc>ZC4exinRFvMEZ0V#)&jS z!cL*>bi0Jy1mSH>D)jfLokFOKCcpU(3G$<6c?ZRQ6tTG@gjTZ<7t{SHV;=zFiaNdp z&ASdwy3E?MZ42z1X8Pq1#EvV#;yJtb!( zB?Z-9H~x}&haba4mmH#zf@alia?e@7xgQGTR@=z2P1Q*;<62T=JOd=V_(WroN&vPRxN>s$4EMbvE zMafT5qoBmS$Do3u20{6M-@Vm6JwxMr=lnT`On23-uWsGCb!)p--2`yx$EW=9)sJ&P zxBF~>cEPjXyagLFt!#91x}^1gIbC9>b8rzEw}r{f=;LjaMb?#^JKs(RTTu4N{4wi} z&TOU-$(1i>*T$IzMXc#Ka}>6U@Hb*zg}+h_lkfo1E%<=?LGjL0MZdNO#9ML!Wp@fl zj19n(qw$9BU!8Wh6SbIL~h*gT%mb2}f;QJe~F87cwRMHtFjSA@qk{*sb zKs;27lxhsAE*GlfNoB=e;1VKl+Vk`hk*@n8GGcYaU*oeVT(QA{t4;(t6d;Lb>&=-s z)IAQP@x)Rcl!yxg4RTnufrnMit=<0jpPMtPbYF1qN5>Q%_P}@!g1|l>YBD_h!=Ogz zD<}LqE7YL6(NTkXIt?Bf6=#gheBk`{L@$@Lwpj6&jRs(UAf|# zkLMM1<%@*4yD^}&E`J&&+Oa*O#SFaUseI5X&j6_R&C(bF9>Wn{Bc zZZwD8`7`0#$p0(si&e2Y=<2d{C}|}IIP7L+kwtDd|9&u69};gjkDDdW{fiN+Hx61! z6FLeH5$gcwH4_gI1K?g3g01~m5 zfyil5w@*7G_qOSya}S$#dTx*DBXYB+otk^d^r5-dwBvKrZag+Oy|BOiFjkNwRyk5R zEn&T~@DQP2I+rcsO2oFDVn2hH&@!;1rGLa4@1g%f>8~LDZ9;!KVk6cGq@ndbipi~* zMRQ{;4Nlx;t_l8)Z=UXgVebY4{;RjTm?y|&#QTm+e$fmvm_c`B5V1aOm2BBZqBq`xyJ-bsYv*pHH=}nLV$jix%gByl|tQwzarF+@erE@E|t*Ez}bUZY?`Hr z4TNk{Bi1Vp@pE`+xuCcRUSu8ZFL3XfP`1o~_(2wN$nGH7iIJ{1<2PbW#os|Ik>Eu) z!D;o@c?gAAFc}epbLbdy1cnz#WV5i1KsPK(Sg z>>RP$Awcx{@4wrnwA>%De#dWg6tpvj2iS1Seuvw<5v!leMStaDOBT>A;bH}7m5bN$ zCh$4GwD|Wv!f9J~o!DoeL6`^I3RuSTl4JNmmFu)OIOA zBG!>aG^4x@WbFV12y2;mh*%x)H*96db6Y3subp769$81MAMhyTUoz}fvV(i1T>eHY zfA)UJ_pdSJZ?Pr-i|rid4ACkQHGv4seP17E>)1fq<&xKJlC(|OD#Qb_SxF-4nt7cd zY|~64%$01$fJDU*!jqGep*xp!*J@5h0Et+K5z&aDQ=qg3s)7tIb~89zGstGb{*uAg z9Zm+{MI2YhsDQ*Vr_)vo7Ot^kD1y$i@aHl5&L-!!* z=7SEhdUFj+aIq(Y^n$(-YZL;cW=_LHr0Y=rwFclXTE*SV&>`4ecqVQkyN1{ioVMxZ zFFKC3pOUflUZ9VTN{?87|7n`~CiFNE&A*VT%3i)!5zVv6Q8(fIW6-MX*W$?$jiLLz z3+R3sC7h=U=kq}1h$g+@Uz|8_xWGvV_m^`I4cze+eoO2_bH@i}@eE(08AwB=IA-7v zaG_?v$_Fe@d330S&rVA0IsCD2L+jU`_+h^8KtEZF7M2o=;7`Qr&LlJeUGPxL4Xu_! z*gq%q@9hX}$Iy!+)>ga-t<6vll3GSYtPM`+2Mk4EO3U$ByK_R9FcdYN($d{NoV>vD z;A&616n^I|9qdh84SQM#;nwotPB@$F%*~ch@D?70g3D<)j8Z=Q3J0PNPZ6sY>d}b0 z5dX-;iQET^yTHMTRBSIihz)N`e}#y3&1$D&b>Bbl=zURPL(ig0{?+PK?5}uo zD%Q}YlkNb}K_zF)JCmGEMph+tZM;^+5M4u}J3s`s?jwS> z^1!k>tRu{Y^7A0{r(gv+T<_*kqdDX-aZqwdl^if3joU3lv=@o8HHTy4Ipp44ctFH@ z=MP~lY^}h9s8sA>%`Dx`Osr-!Z&hdDG>v3&W=pDs%nZ@vBzhG@D9*~2ZgC*1GJdWM z_TR~H6f=b6Qex}^QMw06F6NRK48YioA=c%R;bEG}TRKD3mqe#(hNI&ds{AnU5&1oY zszna{+#JkdhAo*$Y)K9)ewX&*&A|}8OQPm{Dbmj?;zbhq-6hFj^Jn5gnpsR7TO!tK zl1g!wYH>=4Qwf}i_3zy?Gej4XXqskrhbOa~KpDOM(f>IaW->$iH^ySbk62^f3|DD} z`w;60$?)sncGEvY^kV=-Z8XEq@eEad`@!r||IXDMw$?dCTCF+MF!5Vwut+l{hXj={ zL=h5Ife0$GfC#1^%c1_Au8f7Pp?FZ!*G)4kbu(j64s{qtobi%bm)$cnL2LHfFEIAqShNwrw~^|qSgj|3%>bbyP!#_~^= z#}UlCewh{idq{cV#^R!l9dPqXvr4Vg-Vn&4r~kDJ!5M+l7f@h%n)SXur4?L{_q4z) z&M)wkQZN92+XTvZXEHeZ7D|Nmuw(Yf*_AEmrJoYwt_?!meS0UC9XqcZ^268^!FH6h zZkPw+mYZ;k((^*uX%l~ZZ&BKpl)Odh0g&JprKSAY(=AHh{Q%-aQD-*`ao0*APP|2F zC1}k3NuJd+t|mx7Wj{?Rs?KKmen#_F z>;+x=_%ya;>mx)47LD6k&aWO=^)6{QC7nys7nJmQMAjEqu-y0u>W5tF1C@FTsf(2Q zR-w*<_JS+sbd^hekW!yS>a&#kOrfTyqM^=nskTE==Db5Bpcf9v;Ne@=i zFFSzr_kT(GetVOo>_StW-6YmMl5IeY8uk-3+4D@c9LXZqy;~4RM*{0LP;pnVG89;V zO$jW{TQ?#SOS30oX|Of)#^smkKVAy<#}A)8;q(fRw$;5orrO`;3S_)JG|_2A&bz&)#xq$Id7wIo;rU&R_+ zU^KI+rWk=>{&6ki+O}Z+%TuL{TV8hrU?LYVay269SH#2%%~pkpznAe(BOW>YZ4&`ys4l%EZm{6S#RzdP>=Ec+mT@($RIaIh-;iG9_xSYpHd60HWV3(zB=29I?M@Qjx3Cku?EkwI&Di!*zA4NuPO$Sh$rHgO`mP_G!q|9n3S;QD zr-N>9ElhVQ%qkE$g*mA(AM4);5JsQFgMHV}35?F-bXXaEoC$Yllw}5^e`Cx6Mi;;4 zF#5VOI)e;Ehmg?`0KlXJo)4$s$zjycjUwHppo7#401~mfF+VeazMug1t=HdZTo|C0 zfi%G+xnr)kaqzPRD`%Txrbr*-!7(Yj-DeoFb}V$bU9H@{lLjs}ogyvZ3q(dL*ve6^ z|HBKumKK(G`%;W+u>gRId=o|{XC=dQrT=Wx%3ixpc3b-T6N_9*AyOz8$6-D|sk0tN^%`0dKzd`du;Sy?( zUFc$Q5ep$Hu{;_9T#*V=3^>pM<4VAv(~%7+0GSdy5EOXN0FPXgvU6P?Q;FHb#Z2b! zbl^@5Amk?@2B}cnS%UZ&lPDcLZn@f^*bXi$$yxO?$&FrlYdJ(l;UU8 z$#YhR5?=4-&{K05!W_m)4%WXBSudB~kbN6B%BKzsth?FM~zv?HUe-vsi<3^u5+n#mHK2-k5%e1jI39- zz*&89HW|b}`v^C&F5wBty7rP5xJnDb7XYW#a~(eJ5&(zB2qo>H7f-vYa)WjYBlKt9?h-puyy0a1 z+<_Pkep>c+_*2~uemf9)ADJBd#OHPh%z79Knz+gGELu1>S-^1AdI&GF z%Yx^2e8$0ibg+0kOW#`ghMV=|XO6t7gIlz$1|thhOXaxQ$M$wu)z}0!kEm6L773&j z5a6woB5^(iBniZgRDAPCT0o@EigdFgWh&CU`j(|g5{Mh!_lyKb_Fb~O2`*05wAqRj z*0N>5``JJ(9*VBTr6q zEYsX4X}TULX}X^(X*|o6$!T_=z%3Io7yS`!4|CDCp@Ru?(c}d0Fu}1nIkE}~pwubI zK|JhsnEUyX6Fh_jH2<@nbDF~^+8oYqg}PsLf;5LK5$TLM;kIljP9VhyP=w1WfD)0v z;j-Cyt}nYAe^J(yh`bM$_rN{eUM`Vm+R%O7JexGnul@kyAID=dyOilUv^6mejQJcf zNVc)sVO4geqIoYcvMwQ+iMfw4&q}gR&mdVu^7b7UTQXJ6NVwC^pqxRJi9p%?PCK^W z`Z60oEG+M|>!0^e`IuFPvLPa*O8lj%4@V!xQz%--0JMP+e+FrPq7q@-89$O-esQ=w+c&%-ozB zumtCBanZ*F>p1M20b{g_@ww)iO^p7Eae`uS1v3$Yq5{TGNYZjUQ1)_BzEG6Uegn$S z{e<(Mo>k5XbBP39HjsNr~R#H)^QHfho)m~^2geN||E3Sk(yhDjpU-7dzLiZOv0 zQ-Ki?V~lxLR$dAU40SXVgGg~ED0cJPn$xH%(d4K^T_`HTgL@`=usNe3$NI7={FRC? zdzw`pl>k)yc)mrfKc7LVB2m(YB9BR+*?52vtGB1k$MCBxf>_)b#vfg*Ed31Svgh+7 zN>S@wG)@3cBt+Co6+2iqMF2Gr*E#S_^~#;9Pg(9)>Tgvp!?vN+<8%B_Eb_=dZH0 zC|Hqk8!=|;4mfxo8^wD}(dwA&qhlP;<9wVZpWHga{XP%AGBk84wOfXI`y@x3^X6LE z-!W_p^}Ysh=}VI2-Xn9Rm9vni5Uk{hz;3-$CeHtxkux`ZmDv_CTC1VlKEgEU>^ z+1=M-Mz}TnOL=fDzFZVUwS0_fsVgp8+a80ir?k9{TfxG&eYttKwtZmMu`=um;^MI` zWh^FC`&w8WcieR`UYv2)_0U$f09mlN?$x({I2Ad92hin;fTbLj{0wyi{BfGj9EBXA zZ~t%)0k3S2Cbl`tU}5^w@9AVNLhuH)joN?&C$sY6=^3;S%Z{ynv#vB z1}STOQr^pyD>UUtA|H;tW=X=tk(Za#bD4@xMHqGc2dOaX`Ztm!jJmw^eL&xGVKF{_ zT`(StZBr#l-_HE;Zi9G}{0@84aufzntThat7XD1)75U+JaUwULXAV4)dmF^E;WQ)J zj(ARGm!lI%no-zWJ{1oIUcFX$uKg9>a?`}osPGK%*^1VWwb6?uYtP`&HMp_&o&Ax6 z>}Nbsd)p7jp}4--f001twZ&j0NlHd-C#`iR-ebFq?mmDNA-50sh;0LRl&Z0nj={eV zI2$a{=YkG3c4u%=9-LcTG#5MaWzF<{`zD@u1RTQfAt;; zhU@R;>e|v_nzEmp@^4MKG5CFe>8glfburQr1%+pi=%FlH+Edr%;5f8uEbu=%5*(-3L0Uqf&KYJz4Y07g4 z))?Nlz0a6Sr2cq?ZNYf7`oP1E(Hf?${tFwe{@0!~TC0#i#*8Lv6{DIE1v~i3L$p)> z0Y&)Kbo;6g9R|}1{@oHnL2VUarWSj9ixfLci!Fgz;qfWHPGK@=X99{ZH0 z9R77Mu%U3-5au4WJ^~@$Lduc^V(!hiB$iH8mhdGTYqZPKXk{szx%JoFPHkt|RYjRo=gS19^AoL3wv?k4U=)^%ySU#yzU%k2wzcbxOX5en~B_ z805E+&wS;xO8FfBttd~m@+pDn27UPK>+*Rp_{3SLcj&WH6|qj0ho>=P2;=^;;L~zJ zU$r=|RN|(-!NJPFJ+u@h!Ah>>o#ygep#1Jfevbmbk*>eK4R(W-eHx>oRIIPzfWL5! zdmEIrqF?^QYj8DmBzuvBz}qUs}K*P_`z(+$c)_JL5vGOUP4%C z@~2SdCrfa-NBQuBGGe*AXm6|s7$pP78$nvE~<01Zd7M6abpyxQE`4j69;QeiX(yO{C#l7I?M#h4n-ee?}akzEC3sR zPom4id?2lWYS%$CXx0qA{7N#gH3JF6D8MI!MavyV=Vemu8tbWcH4*Dwd4PLV4PB^$ z3)O#0)b3HZPz??~8n}mkRwcnlsdmlxJ5_j>R^bz0q6!ywr@A~U>hh>j7ZZOw<7Xot ziJwYNJc*Ih_ni1t#-AgJyIzLGk>I1Qt~El}#+b0lP4JsKex|D1uGnx@x1MfxQRVg5 zX24}AFZ(A)e$2?0gV26Dy}AZj^?w)Sg6ME$hvUh>JYx6jeu6E<-Cz3#8#HP z&5^)(hl#bImG-y@wdX-}NDsy}{71D=DOj<98cjsY17rr@FfNmyIr0|AlC_zlrHiirKEPlYNQ4#c){$7L4Ym7G~R{Wf-e%bsu{e-b`B!lzvW*qgIhJlF2-y zR=u{@Ea9L`0x?qJTM{GNmN<-j+es{Tu)>k%6I#7pHbI(wu*C&c>&-}5lWu;ZI8Zr& z0VD?_l>^NCC<+P0$jXO<8(a=1lY^+m9wmewG{yN#+amUBi6em+V)@`)woJ@f>8)5q zwR>RPs{&0yXSgrkjJ%|^S85JJG>1pf9FSi~bC5ucn0#_*c+-&;FRlis6BTEa;tcyj zaKh%tu`&KA@jI*~Hjjfq;7ic+B{{XQ3oR4R%D zVwmBB^1PrFo&kwmqzF}tu)mh4S`j1=0{|a{quug!M|pS=s@)v}+_(+|@aENlu9{Dc z<}(i_13FNv`A8u8H=le~FLvbi8jc%+Ut9IFPH|3CoCd{_Ky-0FIJM9v%$;)8>*bww z=$*SZIm5Su?8R6h5hGKWX}8;Go&%-48CT~u12p%kntP+>z5-1ZrD)RJB@i8>Pwppa z?m6n;me1Tz^W->ZgPY?|nD1zgZ?%{5w$U7$HOI3wM_Y50K=hP8IX0kvs3)bc+K^hE z>em)U>AXSsZdDWsOuXhFhFi?$9fBe)=PpG^;jUrSTBmIo9>aW2XWuHg6|XW=T9KQ2Gsy(Z=rnQ)*+3@-a&J7`stwcf9f; zfv}4{d^}w%I#T)&pzMoWa9Z%uc=NX29jbQ|HHXET-xSS30%13Oa%k(8^bh0#iLF$e zsfu%-aymnCB+&n2_CFzc)LmdXx=5_6V8uGtU3yFFMg=TZz#IiES3n8$znlFz2N0cC ziv8xh09-3e%T^x+tWdyBpNK3g6;J~GuV)|c0K!hd|BZmRDBzc>pj8U^tTw%B1(ZM- zSiU7GNjnD+g2VY=0v@S=l?qs+fIogJ9M&qJ1o~gnz6f<8GUVAoy8%O*m^uJkXDVQw z0&f0H02>rg0%215mc-$u4xl*1PA4EghQ#)zfQ<_Hf&w-vpajB7`T%xu0D+QXA5Opl z3iz5ft!4!rqky&oN+67&58$fTOpzft?0;fZ68lcqlde?2EehCK0b3PN0$~q*0B>*r zVVhIzQUcCVz#a<7;{w=pX~tWA__Yif!Iv=*>s0cVB*Pv87${@M0Kvsne>0gNYMrN5 zo24ic2ouS-B$N#cSDqEeWm zgL_m;ov}4{`mwcB`ys~G?*(LRy%v$Kv!02sWc-VWho4AW_}dO>Ifu8Tw4FZ6{vMsS zju5i!4eoPCyFJ!Wb$W88_S>D1x4qay@%;x5g??%^)}ktNl$wHxTFOFX9l}1FmQn&? zQ+-O=G!NI^+5h^)0X6H^a|wz&WJ;VO_tzr7^#O|X+1^s*PZ8-B*~CA?_%{$=Vz-AU z?1lC~mtBLLtL)ybjby5_+g6#Mq3lW^Ot%laX*EveZi9|jRM@{`>*JF6GoAhBOeVn*yfzl)4nXfC*l@3A6t#+{ok9xd!qKUryM;o2kbiA7svoWb=V&Ng z1ec7Wrj$aSPq9$Z*oxj8KX&)Mphn^Pq^)ytuw7|SxgFn)&9b+5APv5U7HpD>`9HDS z73*D)di*SfrR#1c z_72AGB2Ok39x$Ou7r6fNK(TyjF#@K{3E`opeDLfkYCyJikZoNlrmXq+n!BI;; z!vjBYZe0&DxG;qIljy==bT#r6R*FRYwJNYFYgv84+KT+hrC0~OrWTh8^Ha}z_QnZ8 zo+xNx4dhu(c|MIh-y6UROwGur#cw21*Z^sOpx(YgdR2b^5B?-Pxd_Qj0xgX5t4%0< z4%G*=j$};SgN*BfIFU?X)(bGQiPHHC6pnPZ;#vK9jO;Jb97Yr6{q~YWgM4Dcl==&( zN$hrDI+b;qCdg)j$4mmS6j%i18VQ#cR;@TJV<4hEn$D~`3#MJ9F&0xdF$Y8u?0MR*( z*u*Yn>`C5Ov^B8%^)3L39f7E&EiVUj>@#ATQWq-zEktN-r=|ARYQ}B6thWEFh{x9B zTK;&wv*S#^cypZTn-uhLf zMKg)7zM!pzJRO60CA!{3C7Y+9y;Y*URfNag9SV;u9v-eMm(HjHb<}{iC*3K2>rfJ$ zo?C$R=DTrpm?wP$rIk=R966@VK=Xt<*|_3R1h$a%38{a`2_=nI7~v&F6>OezXfm4D z_XR>cB$xN)T2|tVBYZ(p)&Uj`f zwjX0(^2Tarr5^<5;C=!(#}P6 z4y5EB5h%L@QCRt2phL^yXi=#JeW1)^kq?yl9R4IKGju{MQZqaVQM$@yhY}riI$;Jr z+a6qy3K2=J|Iu8BGuIXALX2F8qz0BXGMzTI!;y&BXD&!7=$e4mNzw3O9ObCj3gBRX z@D_i(K6QXH9Gxi~iR&zaq%Hr7AXs^vWiM#YGwiYJU`Hjl&I!3a0XjAcDC6oWo<@;$ z)_>;aYAI|{IT}VW{H3KNFPboW+G90JOj?5vxem|_|V$Bf5$c*9mEGxBACGFRt3t6nZKz+-qwaTRg9si{Z|{O z4pVZo#4)U}w?o&YbmK|)k%#U8p)1G zvhRpm-^lP+zcUfFZq&DdA^{Cdm?i?sXhEouyK7IU6b=VSl_rx(A$lh$Q8l~05!tA1ZAPW+U3Vy`rFb2$~wbWGBDgh!U= z>xYBU@+dUOpj-s)bW!=yu9f61+P$B!=sD07w;lU{MJTg$MCqb{d6D;_%o!=7^c85S z+yLgjuj%2g5IvYrJ#Z3Qns5vguG_Vf<`G84>1j;t35Lx8hac=d8pZ^C?~SY{Z5h9V4jWC>0viHT>~5C`bA-hhUH{7K!jj&%L!?p5X@=CU zw$;b4N4K^C<=a3!MG?{cPtAr*&_Xd1+k@=R zSw&gN@TFyMWW|~`@2>bvm*uM{oQ7)?^R#i^-$d1j9hksB2HB=Uq{Z#2Ug~@ho3U&s zh;Or;jzqNQELl1gOjF6^Zbsa1)c_%!gb6VS8KrtA#_NCL;I$c&Xt!cbaF`hGWr71q z9rsPrIyjlxzqws%wbS@6W(di1e03)%S;$I^$xx2Am zWg2TN45J7O`yld%g(75p?1EzoU z3z~2>8g7bumo%dENR+7%QH99jTjZZSda?+yRGm5=SBPwd7^4uq6+!|dzAicZGHL8) zg}7cJxUPhP?5_|56+#02y>ad*!~lgjSs{if#9G)b6f2|<66o)4a~2`Csh>k5RI_7;G$e1B+%c@W*8xC^}raX5ETj$T`drm3L%01J~kP9fH7 zi)l~@3B*1x-;#D02M~hm+97N&Dnz3~+@ugq3L%01{x9360OBEqn641b3UQ`F*a{(m z*vsWx5*IHM;%tTZmqKh&h9jd%?FPE}kL8 z=?Zb9LgXk!JB1ji5EAI`%QA@&?G)lvg&3j`OH|Q93L%01ZY+loVzv5pbXJH_3Nce5 z#w&yb`g@>kybKUC72@+%(y%5f#F+{)MIj{6-^Ju1LY%A+3lw6iLhP#$GZaDs{k==h zCd3ZeF11P&qF5m+R7=VgLIVB$N%kc~twNlw5ETj$RftN3kU)PAlEzB`QK%3{Dnyk+ zv{8s^g^)mhpOGjbj#G%%l_Jg>g;=ijQ>zdX=ME6hZ=F zFZhg)qPKD(fv^{ROM)mQL^p+qDufK(@LfnP)F+{mIdYKf9lXTcl2~1eLb0L171PHxZ)f%c0qZHy|rQvWw zyemUit5zT|t%zEON%}qe1^j$5%dg2E(q!CH>nY{H-i zK5`yig{2$Jr4z3WpUGs0YqET$<;na-`dEu(HAq&Qoa}Ta`egrKHcVK|gR>^YeIByIMVlkR z&EeqA`d}-hfOYJhgM+QNWtIe6Q;7K}k^~r_X;$ z)#lx{7*nPlC<-dH(OK&Hd)iy3l;>|F;y?UKWZSe12y(Mo)FB0G8HlhqX*iJArANaGVO^HdV)j4`X;8!|5;ME{B`gUW|Rx z8;iXwY`iA!h1T0E;JfZ*sMo~h{jdm0FW00Ld7A{0XLw4tk8-$}2~PBIXu|))@PoZP z$VQxrEn#emH&!?tlbX!p!C*0V3fqecsM+Dyd>-H=0=@pd2&kC?GU10Z{0WBBJINK0 ziA`thOmD0T$lWKdx1aeeE*tZGf%jiSsxvgz?y}j8bwr0bS&qZ^IGCWDhdC2o#_%@Y z>_s*v_HxF4^PPuvBO4EQ?Z6%G`;2z_0tOggs+fQR$zI(i=i)njVigJ{CjpL|AU2Y2 zK%Sd>dy8cfU$M^1K`{M0f;W3fx7@3dA;_bZ(`r=Pu7I$@u zw|ASqck%YDK*^IZfw8UlQxZF{czbGK*0&6h56jjEZ9KCQq4}caqRmID4iyslmv3Ed z&cLQ^L0?DZk5ZyhBzn(F1oOYE3F=WrWYa$SD)C_?u8I?9vae`^(Lu|NplqU|9Iuqy zUj^ljO4$TO$slDlU|aGX$`h4x9VzpZC~qSrzXDRkjwRalJXqZ1{^gZ(jr@B%@{iwH zS+Y6gZoN56-o^zB-bxj45~&0D00r-hQYC|r12`C!wSVztisl_oMxXjd#98U=W1D@p z`rv$41h-ua;M&svBhaGL|ByevoxXgT)ZmX)L9BTN_`?i7L}vEbO>8w|dwF897w2rS zU-BB5mGyOuUa)@!eW){A%p+cwD7=oVwWods<}oPZAV;>5uqYWM3^CoH){oj{z(xDO!S!Zu-R6prLO~8rn*6xSc|cDcw(Kwhl&5T2Jw>+ zpWCA#BloaC*)?qEMX#n5v_+9q=o8idQD8uE9_Ej);w-_3upF)~SFWPO?GVpb+S-{A zq(e7F=`JPRS6_-O>LR1EA(ATXkISAi3S^3Vg(f(G30^h{;>9(w`!V(bPpng16aV`H z#7{#!irY5#u!56Yu5lQ;TJhJDVzeSO&_EnfLq6y!tI}2Odce1@;|R0mXs|xLX8u76*vezIJkt~0Si-OT%LoXABlosGhrF%{1rVk zP8D5mU(-u+ITBXiWcAnudt!TwHPh`cA=4X?Dayi;gw#nj9OFUPoKnyo!Odv0t>7B} zL86?W2kId4+dG0ap;1?Cu8+IQ6?6v6-C5FohUJo%=a=jy$Gc9 zU8E4kh4Srsa3T9J2W)e{VC48Gj|Y?0a_uUr7dN!gF^{*ljjRH;wDxC|%MxOzD0a1@ zjwbGM#(ndJyUqPQ2GWT`|2*^q&d|Rf`-D_@6GI;K7)n8DzykVN)6db}7JKWRiFyxE z5#J>BZ2DN~4CgD+=J_DH!b=2Qe*~9{#OCN|UWgYc@p2O9f><|n^)hIKo|zwBlOJBE z(>PqjyI42_*!x(yfhJE;lYO;xJANLOw~hI0mwm-Xup6!iW(8zl3Ev2(>b^&Vh@~n| zBG<*r(^2H98ppgv3PzhhYXtFn5|~O@qQrl{0ODJch+ib}JP+}5CH_AWpP58lOyZk8 z#4DBfX%hEHA|6X(=_Iv=T$|mXiLPU!%`CE76?YUQhW%|NI-NxIULpu}J5H>`e&rz9 zn8o*$xI2j-CUIi8#qc{^5NRWI@iwBu);3c0w4b5NpcC^Qnqd{bW#PC5we&H)01IU_SBSn= zqT@)kz)J+Ve2F_eVjI*(2=TW{Y?1g5msrZr0ljYC8)Y-)*AA!A;puxtSoItbhA0B8 zQN6w2^NyUzVx}otG4|(MEQC8V~oI>!(am-(QCA=f2&n7+;Uuj`p-j|7WGzxc6J z$m$5@^EB##3}GONJig)n8op(Mz7btRF&@7H5gyAyQw-siC+o)F`EgwHsgZTh0yp2_ zI(mB>4!7Fhdzi>lCY~hmA%@uL;7r_|j7vitKj4ry{bCjE+|BG6`Y%R5g>mJ1pz$A9 zUgF^_TQloVz*j!e5`(jWY*F9f45~d6oN+Yeh^Ut)NN0kX@dTW~6kP8R9j-*1o&nMM zhR7*`iCe|EA&ASh3I{sr`e?d&jO&Rwlnd7%u@=BnHq_J@)_Qx=p*Zc6>T-3ACcKsj zTRwI*NsbqpxG{`dhq&Cm0;Sb3Y51K*KQ5d#YLC;7U1PoQQetP|&Z1fTnrW;-?VhM= zf6bs1LGCgcV0kPPykUeQR=9Je(RH#*|7oLC12pLePb2ABNa`)r)ErT<8NKmSL#sZN zx9-c>YH#)sZ+KWZNpbEXPP>1=5gEmC)^MCz2yDm@(_wM3ltqnRkHy=jWRE43_IRje zRStK#L}!E3O|n>CZ~sa#9_Y9T9UunMm-5H!mE+DBkA)8zoo0d!mxPW_@6{UxDX{GR zi*fp|B*B?I(U-PlGe>|J@NE&Pas*fm<53o&r};bO250nV_sgf$6WTlRaoxE7}P} zd)c5l>h(1)*MquVCU||fg2cbA?+ZK*RCfDrrZcMxL87&6(&MTym8-^xr3NQYG3KR- z#b*?2P=;Eq$LqK;ZG2B}R^BR~0B>EDH%MqIwNcOP&{H&6CALkC=J5}S7Bo5tdJ9F~ z*+lpdcegPmN|yz(H$aCQp>CR7*2wDZ>$ggYE7^BO`v&${;vPZd6TviE(n-7OxzuEh zZwz6JZK3_+`#a^EG!blHNmhnao(F{o>evzC?f3$aTKoL)C-(Q4CDL&eyfs2nP4)-K zgs$VZ&)5_lG|%xuq{y6BvIa?N!y{Km#;qn(D$GN5)#E+%S}0BQ z*y9jc)jCx#BXrLQA2o(4hzfn#gHm#Lz8qDAFCPQKg^JJ=$+ACx&lwxvi-OtPkSCQ! zS6UKB-v3+ahNFjsdZudWu0(eJr7IW~FWtk+Tadi1L7R$iBt21WGpsog^na?TemmB~!J&6|@;a!*D$T7a2O(hvy-mPb@m?151*V{AmEjFb@0o$_nBTwS7Qhkoe#;=}+oe`qHa^fK1DIr? zH_0fdpAoMZ_x^W_04t$PGKg8P3IDD{!doOEU0B$gSE+pYb(7%To)VjHGOcBb5#FqZ z^w!asA$WlVy%PzbrZST;B;_QrK!Puam!6EVRqlR)vO)MoeRE=iMJ%_3pDUx|nB;@E zy+VPt;W+JX2>gOCMEhl;V?GJglL`JK2|RQ1z)25H)u?8u^2 zb!0|nWaQmw?9yY!r$q~X!qp(aXi4YweQ11Gbt^bse$W_xRm6jd_=qB6oWT0602Br> zgyYN)_pK7WQ2Rp(s<+<*Ht7E(s%X<2f;>-=tB^@QMTUgUfF=pq@*t-x^2c+4JT{JOZZa{kwT$iWjisdP?Ms-o zVbM~cC`mgQQdkQ)VBEm*PH=5W(OT>eMj_w&;A+k|(6bFhkzhkkjQk()BYN)uoL))J=U3HGQ*Xf9ut1eoyvu1<$wQotuDqY>V z0QVF_%tF{z2@faXQ!b&?_r*Sy;+)M+FWUD@5cwA;4aIQ~_gBRA4*+qrL3DJhq*e_5 zD8bA7kKp+YKVBUa$2&mrN{N>m$IFDS*C0>1Ql*>HOeD?ctEIR&VW&3K#0_WM3dH3e z5|}j#PQ$qe2TEEXyxaq^VcR`WmWwcFf&xv)@ES7)Gy3YG_$kCFBEFHH3AgV@_@$aJ zW*O#OYXr;GgH{$?=71ckAa9a*pi8W4*;nHFJG8V?^h@Y#v)Qdit(p2JF0Q!aR{L6c zOKO0n1EEdVtps1P^J6CJg)jlKu=L~CSXh$FyW{Q>b<`VEOfFoBSvXcXJb)a|T@^2; z2~TDCZ48%5)$YXI1EqXEXX@P*rpE@L znB^<>RLr(0rc;vs%EVb@Vl4$@D$IlrVE96Y?_QEX*%|B$j7UyYptejVr>35T*?waH;2lYs12!)zD?1}mOy`}@KQMUNcwf? zppbs5rjO~{3{5YA{vP4Oksix_Ty{IOB=~e{EQOmT5nM$ghw6is?i6mHW(y-RNnCoMm01nB}J=K3XVg0&IcEOrx*R%`nboz-VL6duGXA}F{iqv zDk_x0xS*NXUW|Rx6YGp}%zBwyqeC!tz&NWBT_MIC;82&wV7$NKpo2ZfZr$MpZWL=jRQ00c;t z<@J~9!)`j6>_Fu?RBHtkW-Hk6(GLIMQlQI%C-2v(x98u*cWwaJnLUAS8>9$$t+zAo zk>mOopmO$?{QG7|{(k!u-I%{Ik7X*TmM{c0*%$B$jWdBst_Aznf*^0cl9LOienU-$Q#68BiZivgxhGSjNf)iUV zL?$JgA17m1qc=i+dz_5TKz=P_pi5^X4Romh{Q2wBnWW^_UO%Di`wO!6Z<0MhiMrF3 z$Vash+h&2$>ldr!O|{o>jo59I`(Zv}@6x2J|8f6i3fd%eH(u`*u=|zpE^x-<++(V@ z=jpsf78&N5`X?7HwZcVp-2T^T{j0?{z1v|ZUg&?a|9z##B%9|nn|92m)MVr6!lD-> zm)|89(Xx;}mZPC*SC}-&+ITbuus?d>_1Iuu^__t4W#G|*#M0D-gR5!!1Esuzr9QZX zGh9@H@b#kdbsZCKeqD*anDI2bm++q9~?*7btWWCcaM- z$6MB+%f(Iw(fvTT8bRZ)TfZCy8Df_1$m*`=#rSuUbbTu&ou7SqBc6_Sq=E|>u0p+q zOMvZ{AVc}Y&1z1$m!!sG*gyKOR-gL|z9S2iS~~irTIz$#Q0hk(i9op`;<5UD;I@Gx z#YY)jUqm|_#GWjgw;Cz^W%1pSA`8N0(B9tmoXFw~N!RyLN#`evmzd7j+P9Rw+e^XT z&ew!J+FHRo26%?C+}?$Gd^Bred*~i^6#-aX%27dXpKvg4LblIB1yGv0N%K$prQY^h zB{t|q;k4QqS^T!HUNWfnf ziX>bu>sc+5y_VfqwC^i>v}gYYo_#6A%aZPK53@IPrpa4M^p@iq!XwwCY)xpE89GgD z;#%D|%GIVjz}5N6Rg<#-Vd7RXZV2LXao5MS@K#H7P+bclI1Z|H?5*ga8bM}qT_xO~ z~acOkh?+`kw{XrH({F>Z^EL7Z?8mbWU)N0Y7RUKK$$L8Y?2X`!t@pm}+N z3>zD;G89X5vZ6~jSuARD*ISp-T-i4EV`3ZeC=h;R9O2q4NcI}Z#-YH@nYgalHIF^v zB<8K1aWTC74dP1t;}$U8<;iFzKOl6pe~MXfq!NEhpOT*QE8-6DPxr)ci2DmA@JV+F z_U2<>`p4~PMciBdaYO0b`J8{;w4I3Kf~QY@>mb9H0-uQ4*z;?dp!;K&aAsuo$ByEH zS2XXaVkkiM%c=lw7di+ln;078unk4~egYc*p+UbR(2B$_%QU3Kecj&P4XUPob@mfC zi0zT46j)?UIUHa6+>**qx4eX6A;(8G$M^P_E%HNWgfqSp1ShPhbs!!i;)f-Qm2kyY2^U?WR_mb#z_dZPC;Et9 zQE*0ss(PKBLbJu!L@i4E6f8QCR(?R9AJc}*d{|Bev|`B{=K~^R(&a1k2ZSd75}Mo) z|I|D@1=Kx!(8@k5!;K}a?F)}Yep}waMK7qf{^;3~+JwlKpvZ3Vq}qzk^b*p0)tq!Vy!J?8uPbgM!}#O5SFip&OudlSwzw(4l&A%)*$)1{vGU6B`-# zjae9LDhYnW9Uw8dgOq$flDE9%Dz6NsP28Ux!K_1EZrAB~iN^LWyhF`&3lK0$da^#|2RHksw6yeu&>hVjceIvJAA{U^~ zfPd5`JyjUq3XczVGNxU4_IO!U=wixc(gwA__B#|r7h}SD<7P=wIVF6K7Pb!y^!JNW z*m9?LF>#$3_Z8xDy9Q?c0=t}8;2R+)7FaHlx&kkH{y}aUg;h4Aj7gf$3J!wiYCdQi zI?8CMg6Vmf{fF6OcE;vVN)!RB5{B8U6y#QdjP@{_R!C2Gx!Lk+B{`QQ$0!Nf5*w<( z2+g%h)1NdQ5^3CJd2^+AXVQE(U*zJB1+u{J@?!vEZ|fnW2SL4FQ8#fMwNO(qXBkI* z_XJ8Pm7*nb_kL$+qUV|Dc1=_%ii^!(6iJ--Z&sQ+Ni#uds)R;X^-Sy(#^!lrWrwjE zAeF4=A_W;rvi;&233nlI2Ra%3-==g2knX1!q}$aEs@S^P{YIR2b zXr$Q~6~Uw~(6`=v!w!x7_+DZ3)xlT=eos1Fs|i^HB1a(#6k?!4NTB}}Mo$m|14ER| zdR!rfD8wLz2q}aF`d?vmJ|Rw1h)D`DN+G_}xA6)gf&Nz*9YBb5h3KP+CMv|6`Zh%& zB+&l~qmS_|&)5nXeOf=w6j@AFh+>79p%4=2e}&Nlgt$#1-cX2Qg$OD{xk5;w{}o1~ z0O4pc+UO8S^)^MSP^2_Ps#GKi^uNidEs$h2eVC@N()91@TeYT_K>uru*6>rJQLDYu z*J$cF`c|u{CD8u{qjIExKTyn&G~gGs0S~+#jkMD|ZR{{? zZAzL0xmI8n&(r(%o=5W79F;&1O*By<^7UoP zhFB5jGI^7c(0`dBkCaDY4)Tsr_8laYeoHg^k`f&bO7>6pJd0=fc3DDL1vlurQ22s| zaASFJNq+dld^*-ghGof}E*yY-f?M+KH?nAzhM6r!ej^I~Sif7*4B9@;W-tu*xun1g zYz`Llhw)S&F1GK{7+8dbyW--FQ=ZA5Rw7Pd<4uV0d90nPM zoTRY5gt+esLi}BR_-FG898Opq;=_ckH1zy3S2Cx0kHYNNJ{Y1%UPeh=*M~QwjC7Yu zvx~3tmWeS48)MLbgReRO7Bdr;Pv&`Nj}86mLeXF*#xJ#@=p400WeORr!uSFsATd-C zd?I_H^E@OS!yUMrW8-Gf%3Jr3VC*xw5ig<2P#=6IrZGP{F}0=bP+sRmuXG`Ees4W^ zH}VjcOGkuP4t>*0FLNypU7d%@`m&BtOVU7M7zU23h)4)NgMd33YUH+S49MOIOQ?t$ z17G@Wp+ZY9-OEi^=}kAoHyuWz!3t+^^9Qqx=B3;Wh56_|7~FIO91J^tXKld5F}FjY zbQNguJL_G(^CVPToP=727jqKoRXoPz1TPuV>z8dCcYvXY#gKD3oDJFPIACQNkzd74 z$nR3*2eE5|9F>VjtzU7c{LV=)m>jurvlt&_i3TemP?<-C!hb-qY-}k2$JtAk`<)FH zg?A0P>yJMoF7-pOPj}GCUA=6FgE34z<*s2e+EMIq-uE-W=#na7REvxnWCS^}j8SiQmvDV?_Ek7LQ+5!J7-edn4uyFLP-drZbKM_%5zU4+3^Wpf87w&#+~AQ(mO_;g>by9V9@#0@(+Pi0R4r15 zvDH0D&AVM_;B-x@LSNo-jq82*{@vW$*Epkn;1Sk z++0$Zj;ohJCpM#GDIZe;BlJ>fRy2F&J;hDs@TI8*4KG zWWHkmCZARAfBYJ{sxC9sXEn+O+lL~mV^O_$6ftw~!R}igBd@8xM@87Xx9m-=B2gL@ zib!QinVNLk6GS9(gnp1^(iI*F%CuY^#7dTmkkPVFQoZX`2)+C2QBh$9A7b3B4Z-FD z5UfZfpxN9{J`EEny-(ImtPP#H0u;FO4vuBxxzOnvhHf4~ZcPM1X+kVb$x{B%*vQ>m z@M9c$)u9T$ZW_`)t!WuW!R!+#o6OjV)7Lgp zk#v}{ZzigR7Rk(w0i-5jcpe%n-<#t44H7*+LH#^de^z zVUgVE&hf}r3vzqsWoK(ah7l%93xb@uWU2S8t{I-WE4!tiH_3QSlF20BR!Z4-OL8j` zAkfX`JWaE4D$=~{q>+_TPd1XKjhkkIrm1F{A}38+B5M;RO`4lV+!x`0!89YBH0g#`2crVQ_OtYMXJQ4P~PbEQYMH zK8sNpq`%Id9)r?d+37E;Nl9J2gcG%dYpw&gk3483nN-5;q!M1NY3^s5%bheyB?JrF zW$3a5dM%X8Tf$qZB2jhA3zs!$3G1|k@@7i-NqkHw#WNj5d$f!E@aC3YZZEQ@-sfTr zpD`fdFe+FPyJwrviH-7`p_>0?MmGaX_JB}@)+Ku#7VDg@B5BGJnbtFMMs{Ruc4Syj znSl(;<_u(5Prn(6jv%yVaGF}XYJTl&L~uSEaj<5$AXN<&E88`1soR}M23PemHYZUM z!5iB7|B#ABljWYy|BvL(KUh2H{o4-!e}jVaVR^m>6gtKGuVMYq@wYr9!gachg;XAk zG`SJ)vYkc?wIWm*nU)0txj1OSOKh37W z;XE2$)yP`;2=6-kyBXV6!&AX3Y>Ta|et$JmEI|sDF=Psdr8UFb?1Gxgbh_|pEgh2l zph*@oN!Uq}>Pgaaq)WS5Y0F7_fe-Di`B*o{9eJYo!TIp)2}Of-BlvA$@9_tZjt47^ zg7*e=1vDI*n{c{lpmkUe>`IHTf0xB5y|)iyz%Tb+?g|Con`&&)rOpT6(o1q1FXuRyR~KF zj$>Rq#PM_2>7314fwCZerMc8=RfdDJjaJWQbD1s8rE<3*wT$&LyoPYlTxL71A)Zc; zTExfkNhsdt@{eQXV3l1n7WSAsF4+HYihPq6dBT+_R?hv>T~4JD)D4Ynkb)eG9A=B; z79~HL5 zWmljSgYWaV708^u9!4oLCPww3ZvV+>7%lvL#N_w(U@1(tKTkont1BpLBQz|_=xWT8 z0g0s=)<$kVcULDEjfLwG-+kBOn2@OzUdY{1jJwW0q4sjbX5K4?1MJ_Vr!#Pb* z%Rw!e@@TLc;p3&!bwrrB`UyMmnyQ2=U1JTNE|<nzOxzn zcvHH3TyO}q4}5Y{M3?0J3NAa;u%+_89)Ad2# zZVwf(8bO1sjVD8uGIq;lU~Ci_!#<%Qh>V-cTdWwh3~!v9_>*v~kR6A^f3@NN=k1tj24@crK8iU7SdEQ^B8aO_QcrUK^{B*u zJcU@Yd4|`81xh`xI;mR8aU@6nhSCRZy>*|^=vx0$s1^Nw@~@%ak6FB+aY5R>3h~*btkiZX zX|a1vNG+2wDlk%{SYq!5MJq;W%2^u5;D2V}(aZy#^j>dZZa)?U~8+fsAS1wFW$p%C!k8X=q{dT>3rYbX8n{ssjYId+eX%mkf! zdg&E|dKYap@5i6orr1Yk#J#=XG?t06V{5o@8~U17u4^4Bnvr)X!0qYbox4Qe?JKtI zfP%uOEqrQ*LwU4Pwo-vdf)dr!3IoXvE8v*e&lr0wVk5zAWpy`oUe;Grtz`KAo>Y-> z+v-y&yzr>>HA6D64zevgs-tsD;n~ieLpfl#A!%k-BLgq=9FZ*WP&+&_F@5NujU2kJ z4^3X>3|*&i4`?YeNXei4E82#(sGU$Y&6Ytein&< zmo^BH6I~liiZ-SM$}2$c+&OC?1}MaPlL2ukAi!n==Q1KG6IsJZ3z2kwo6!MYhpA0N z2d&1i$`IU3f^TLqH8-&kOHZtx}ECyXjL^N;+l^PUDLNy>5sjhAAB+fptPQyg_I6zD zi12zd?Q-W_ZzZ(Qct^Vc>unX3gx90qH`y}Fvlz6u>7uP7lg}gvd%?mf4`)vqC zF*1TXVzldZ7sFj*;7zoJ=oae@Az;23_k>bGwH{}eaHGEVW9bqRD5^+k~$7hXyRN$z&n3c>f73~9WfFyya5 z9~A@0o25JjUgV7aF(`es*6Hjf_N%do&3DH_(xD@N@n@Om*P!2^<{F|uU9}Cct7L({ zs*Wr?#$O?0#0*9AAOZbwD-J~a=fb58?v}?=#nS}M9yXX$>H-#;iJNPgU(A&eRN{C5 zD&|6|bOEwBcJ{lHptz@hJJ$s)3YN`Ho4Fr|9qO;1x!2NJT9AKVf`S~4f-u?upUY|I zTxu|q)fI^eXvt9FG*bgHNQlj|?PdyXTH{h}rVvQq2SSltEq5QQ+-=#STO$R&5pZrZ z{tW`n3XE@Eruon_ev5l)h?Ew{dmHm*gDQupu2)pE(gQV^Q=8_Fsbm!W9p=!~eTX0& zb>%jU^ep|1y_S}1>EFK?0FDI!L|{%XYv)C>(AEGfwC$IfM`JZZ-6@lDncWw2=q8TL z;8T*`z|Mr$DVDXf72nmw_i8!uRV57^fzbRkE-1;Y=93d!KM3iXe{wWKBHf&(A7r@C-a5*r& z5s2J`Q5^!*gQ}Ay!-~td;ok5o7r}_v0~`Q`yE4#sG1w)^QlnKD_BZ`V&B0a*l!P-2 z^Tx}xv&A0p^;CW;c@kPoM`BZ&HB%FX$y4B$BTP6TS;AzVqB)m<9tWWR-wKm^v>;U%p&%cZ z{cnVcHRv0NClO+p5OvTOVWNY6N5Z6AdtuT|g^A{CCrk{gH^%@~uA(x+#7rJi2$PJ< zT*9PAOMg27Y@G3bFHG)LeESpMje_t0T$mV?Ph1F;+i$Uo_+JnvrDOjm!X${jv{b_6 zj7yV*Ny?e&7T;d}jwffIUyw98<8^mf?8@})M_3T)^sKUeX0Kpd4o1=iS{-5Ji?;lE z`_5Jbke0 zK3FSiOif#{Ho-p^31S|X2TMw4jUaHyBs-aX6 z0Y_vyBWr-*XbNSff(n>Z0!;lzP&I|^`liqp!}V3s0@8&U$C{HSp3v?OJRe27eL4pju{CqF7$!kaCb%Xs zLAbCxhI%+v&j_ukTnEjDNgEx7v;{~TsytTs$zPf04R(FnTc%-2#rWlFaB9AHd(tVJ zI0}VY2$@Y<7A1E)g#zjxm&_uB-9rTfDz;urKZpd+orcmoj4@FMGU_HqS&T6{3lqQn zJj9>tj?W4U4pOpQUjsG17rXC)9V#}Bs-jM4VSVs6R0yRd48J_Farg}`A+OS~99^NL zJwW*1PlYJ7xTIh>d&JsB8+v%Y)Of@)yRrMx>0>)?)UPXop+ry(1nnn^t>}eVIJDt` zv}sT^MCKQRJZaql(u~khWf9)cx*5>Eb#sf$$eDrPh%`-}nf-iGk}y?WU2tz+~3HhVA%S5`%bZ@XN9gXi3h58DU_#_D~ zk!|(VL-HU+7E)}~6g5ooO$mXtf(3d0%_Vz)NRO{F!~})|pK9W3nYbQ_$!A-`W+KmK zB#8W~uMI5gq`Roy!UuixZJ*iDX#YBElD{)a8j~c3-_7voWFZ3@LoNrG<9g4h z5iI>{qjSBdIyg4HZW%10tb1hZsC2mX0~bUv`yWUW7`A2%a>9^qGBuAxrhWo@cT|<`<(!AqKLXsV{9$z3xu6Iu!3Ws;{I%F< z!XznJw!kxU6m}_LS&FL&!*Ug2I4yp>@uVxvH5TA!lafboBKb6(facWqA_OT4JFmXV zA4cd<{{r5zFwYZz0fWJGG#m;5`b9uf@G<)plAZ2_FKDK8F*YU;FjRZn)GdU{Rj-Qh z`0jWU5!hI>DeTJP#AHV2AnC10o+<*89g|qm$_YzLZsKoe{6@wbE}QVl3~xXy@ z;b0T`zG~!p_hd3U;3MCQBiC1r{0d6tuT-gg%317jn5n;u5@TeMIrK$_15vkDJ$ICF zz`onnl7HN5f}R`AFB%>RT{ng*0W$GBYu0O}lsU9X3hhWM(;AH5K(3myy64DkXla-U zQrQQ+C`W53GB`9tA;#%PvKX|?7STq`Ua9>wr`Shp8`VvF%aK2q*=&g}$n#&Ree5l%;JEnpt5+caja(7_RVw{szOjcCIhd}ZCf;LR~& z`6?5J%azSf2T%|X3KFWEA^hbp^}dpos^4-P)__9V=twK5M6KZD*>9PFIYG&K)nHkL zrF?ZI$^`~Y^ZMWcl9Chb_AK0A8(czS(`FtR7TSmU%Q3kSPoREuLFhzK224EIu9j^=Ks%P|OdoDPKND?+2okqojAVkw4eO@|$F4sE?65q4h5LPGKd8j1Bo=t>^~6atcPkmxvfRj!v&VECgdr`T z=>Ia2=sDOWjp>jbExHJZ+KYhjd@@NC#uYOU4vz*MtF5rz)EOJx^?u;a7Tf}oYU7HT z2X$1m1kG_(Sn4W4d0gu#k1LC)?7x6n>&E!tZf z_suKT;l!bWrE^Hj?-^BSvizl`>8W)y&D1cc&T<}T4sQ!C7ew)&MKFN6UYK!Cdznzlf)T1PE z+*ls=Wd3WAA3PC`oAB+YApBg0Cve=ve!|!jT(Pdw3&&G1JER0Z%B*cy31ElWCh|5$ ze#FRNnK0Xg-@x$KoJ=lsDVe=*GP7eFgxUYY)?K5dM~8!o0#Ko?`wwrWmxU($3c!Xx}ET0JCU}XBkNBKVP*2Pd)n3}tJh|* z54#|H%bcb~=ac?A*wgqbWWOgovW0AVWeAd)-KQ()dLqP2yGKWTFZ!Q`M>5}wSSWB= zOJmG3P}2=yx?WZ~9B0>48POf9(Oz8FRQok~Cno=Dynt<1Ln)BnjLXVk zFU2>tnQG!I?52RMkz#0s=3Y4rxu4YBC^B#Q7RUa|G5X81GMuFu?q-JR$N&ir5j~Ma z$@T1=tb`*q;Y21p$A)aAqKO>I$m1n4fpf>ezYG@6 znm0TWh`WYM*N1*|hS-UxgH$woq>7sM@N2fyz~aNKa*R^orx5s2E~F;>XomZ2Ql(bV z6|y)Ax4D;7YyI1-6_2%I17f-M9kn@9DxP*7((*?)CEhc>kz@|9Lp`H6pk3ogQ$rM6 zu3`peuh9O-Z~@i@_o!sJmhE1StTMsJ(M@PpL;dTg3;~y#*-nks;jsnahUYSAc5J3l zFLv@R9iNCCb$eL#L&P22G=rqjq(@zpDO<^@V^J(o~As1OKI2gvR~4G zH-4o>p^*u9GVc}0Yo!iR1x!M-s{Gp^Qh$~TD%sPw)V~c?R`A+(z-Gj@A^u-VGYGe| zi!6QOnC)!$#E!wRkYW`tnm09WgRri0OMmJvWl8DBNvnm*6j(+)4Y%mwkxwJ4MkPrl zbfcW}E|2EYjkZzvT%kN$H3S8@f2_!qIxOZzpSB1C0ep&B_k6&P)R{qEsrl|;zVRj> zW92@N?oHv*Plrnv5;?ooo>(iuMau#3yPRPC>#O)9`d_RVKZqLYe}S5|1)li4K*W0m zAj80A9Qc8+srtorIWz$hnA+>juis0(*RTgoR2sj|1C7frVMARAx-h||=gG;rq4ywf z`^t8Ha5=Q6x@33kqViUNNp3(76VOxu3ax_frA8#5oCbp5>JVk*zDj!m*wD3+z-A4ObfPortY=$&q~m71l<{+9W7o*c@II}0_q5m zd$#6o9faJk|7mw}e~u=aqTjU<(C#w{*hM*MJAj z7OOn}GxRMMjP^GcW;l34!FkAI z6`SsoFrU45Ac}p%Maf)`cOkptnedt#L~BB8VNFlY0Xv@6VIV#5(yx6xgZRsQD)AG9=jd=FAKHD>u9(pE)}46lJIh?8TbB;TjF z+qCK%ia?=>z9$|dfY)tv$;7C+2}W#~lkW9*n@en>O8 zYTB9kmrXf5KW|z_e*Y=m;`zbo@OA#4Gsb{KF$fa5M{5ApW_4!k^oY@hf*#2 ztcdq&UEawLUP^-#CMCnl-2B|03QlT6(Gh0Y?JE^W{tR`gUtL zP2to9u)W(DIEDh>-Ag7D^j!*C6qmT#ObMp`5}+k8wbQ#8rM(d?TeP9|KLL#OUYN|- z_6?_`n7kU|f3AcC^j89Mi8A6nK?&%i1V~^wrOUhtAb>3_HV4>&<5JwU3C21yKuWh2 zGzE--Zp8bEq8hBg`^#g#d?hiio2zucY3a^89;G|#g5=W0R$`<;!*AR}$+%Mch~Mrb z^wE_>)Ep(MP)mHS5*1LQBrx@X-l<Q(O}i zmjtGs4$X^g-_hWI0i4tU0D}RQ0cVQpmVe#b)3mk? zj6_@`ghoB3di-@2!zIUoynIx>?A&{wk~cU^#9|i%P+}S1{AxSA6SW&iFvH^%rTq*q zmn$n2OH`A7E!L;Up;#}TCz3GA$(fqVNRrbv$xc9zMe26q~CX0aBNMEy$g2PN%n!Vm)Q^!LKj%yKy{CmWl5NW6Ry(uw z??it@JM^gM1^IuNdXzl#ruD|6C9#Jtz(mdT(5K^AL@v0LDgaP=4<;QXuyl_tzN7t5 z$C`1d7127)Sl~=EK11>0F@^I8JlxZW>=~e`D00vjEM3Y8(eN*}?-1y;)Le9x@4I@w z)REXK{}YK!I^q*yQon};TB^0m51ZJ_NV6}P!Ada#=5DQ^Ccn!P!pmg-&oa8C-Jt z7GIXX{wm)e{EK89JRC)WbTUKEgWwvzc0J^DMtKRTZj0|C|02AN1W99-3k%7+*msaD zrWPk(1o?0pH~`3IkU2^5?0S&7|8v3PJpyD(MXmBbk!x359g1|Euae!GNI`}--6U+Z zYNM~KHeS%$s686BQF^x2m@_znzi6+hjUTl(&a-Rd3#T?d+(~T|mX|$&k$_7Urvlvd z(pwX7!Q~`qS3@_EuZ>%LW69UO_-0kuRF0ril#ylx{pJ{9>#j%8^FGrGLp5C_Fh`>5 z+!eNl6{ZAMfEhmsfhXoL;O$3^@o=U7#Yj178o6mWsf1N z(*u3MIj%bVKsH?oA#8|qXlI}yOLho7`*;zPy7=}aUfERWIOXNl@u4bQ!uym zawLF!)OJzAmD-5D6tM-b@R3sS^U22TJIc!*ODpLmKz{Je>`@8?SNSefK_Q_S`Z?0M zhJLqQls)#-zar+fUmOX3y>e#Cv43w(vVuwOv6EP1zlmMI*qdFkJ01ITV5Zmc*nei5 zHTF{v4t-D|#_Kw_QWH1CqQdK_#XDT7JDm8pjgSIh4ULY4eJhjX2ukVc8Z~OHLXMmL~HdtEEwf2`)r2$Qz#pGX{;YwbZ zDYc4;zAlxh>2?fz*ItCreZXKBY~b66|yK-O`5Or6cN1Mv(VBpsF``sRrALS(sP#3)?6566dyKt^vBTAAL{Uv6sv@Ft(H3f}@^3FM+nzDi?D%;zepz=}@KuEP4a5(}3k37ECgE!-zm!|H2Q6T$2DX|M*sRisRJE|FP_?jK zmjHjP1HT-lsWPQ02mGoO@U7B_B(>lVrdY*bul(t5!_Pt>Th-tdC40#1AQn_!A!V^g zBMUu)SCnQmH*Bjj8HQmhlMVn(+aAR@0Lk~19EA~SSTNcp-!pBn$ss6^Ba1l>6C|(( zQfLWr2|WcfUN(NtHuQp4u}Y(_rdD{OLH0JD0jSD?Pa01N){I-zN{+x32uHJsdw(Vf zG!$l$S8?5-G+*lNNA@Kn-foLC(qQTm@lK54B`t478O73nUf>5TPVpX-ch3sEeuCFf zRvf-%yzg2mLQtf7pi%8i`(>bvco!i`H$&Tu(0}g`2+c_LJ&Xu-LXwC{BJPj!$?r(P z^9MtUWDJAjs&+e*!OdeJ0~%4JMyAOf#B!pK9|(06abx=5m>N1gk^vI zFwXt;1;5a+ZO38eik0>~)U-L=Wo#N8Mm!tng9$*ZZJ@g)ykII)ZUg1WXm~I}!R#9d zwt&yCMZZr2t?=*pPvyR__EZTgxZohL_U{D{&=K#gU$JV%=HA2(W^6=bxBi2<>4of% zk`6}Jz|B2V?3}WaBR$zCptFS$5!ANgs^U^qZ#889nB)-=VD=F(1)!rGlf{72v+6)# zJU}sS`BIx2Y5NlIuza#CW+L7zg&Z`RhUh;IWE=91Vn_qPz+bMD?im46fG$1@SVk$9 zam2DXUrIgKU}1wU7c6+0#z40H3;<=@pP3QGQXU>K9mu03qw{^Lm1o`aq&50H(>pyX zRJI-P4wg)L{_SOZ;wy_^qqn2u^ubpr>kIEceqjix1TaGY41hp@tt-xTyJT!Jc8*ao zHHgZsqV&O-c&DgwqHJ9_`ZGMd1p3m3KM-66@4T(ng`_~f6( zS!;wJg&{tZQ*GDXspvwZYmygFP*W0znd{ zqJuXl71oOOxoak<{07IiFK3Y>1;h47bGdB@-Md}SE9ohG*`cmMH~`iWN~E~+i18~S z#>>t*ZRqt2W?}ugAXwiSUfN(`b(O;TX+OX@8E`NXucGxu>JXHS8cy|C&g&JmkEkz5fts6$`32a(q=VN< zfCDDZeb$mnq7#be$fBbcK%`!D9QQWbQ**G*D?c;$!z0R)nWdQHsVQ}$q&1W)(S;VI zU_Dkds;zYVs$(HMN#+T9s?jCpd+T(vArSnkB@kND1U0B7l;u7u7mB?c6x|@4x5vG8 znPeHNy#HX-RA@y*Cw4PQ7CI$85IF^;VD3kgXU+jdbeAfZpWGK*ZXLu5hE^?8ekY~p zy$v7ghX%&K7Z?wtE|-U-TvG2GX3Be;bY;x!9o*)b)s+Y{@>ap2paEV);CBPKq|&6q z>I`9ZmIBMc1H_Qtwv2WJW)j9ZfMK~WBoDTD!4>Ez>u2VS7{5loGE(_)!p0i>HW{+cBw2qs$#7t1 z5=iO6BNqgh1JE0RV|bC(iEv~vx-JZ%yBaTxmWjOV3q!YU0T1oPu^SfcvL9rgU6E5k z8^lXXHZUCpOj3n~=>kT9;d1E48R^*h+iR&w{7)wSd7yM_2N}83xGKF9rz@tFOjqDR zre7`T(MrS~ye^!kH%fa-4`zDbOKDdH+xnI66+QMuHbU?0jTvdNdnkKtXtyY|frK_g zp)JDc_bx_&+?m5M!5ctE3E!$ z@OYNuIEOg?3LG*}0&L|0Y+suGV zZ(g!!!;CXD+Hwi53%8xwb-vk%qDQ=EKM58`vTtdlu;D~ypa!(y(s>OyQ3K{^3X$dz zHz*(qpQyOFYhP5}?3EmBUDGttV!C|K&f5o-7|H&XkEA{Me$cu?@ADL*p!fNlkCb{J zFVb-~m?>zSnbYir)(`;x(JTX<;?2Fmzr9b8!d_9au;{)wv}(Y6ID>J`kIOrCJ2tTV+uY^f zrVrS1?Yc|)a>Ihlax7P_S*NjI=Pv&_eR*4NNETJ}bIODXY+19sHj z?T@+I7bm8`wZVrRhY7AV>e-5fn8;;}%s^z)Ayi!SpfmQ$deqtp09zEs8k%Pa*22p$ z2Ow_UM0gk<885+B*-Q9ajzHg?EAR_eUAn-! z^mgv3Oo}_1;yt8D;#VM~?tq;8C4_N5KPt^V5HTLA-s{jqRpJTRT{ZIf1eD-!0^FQU zYSa>Z*8}vO1o{wPCh`3P5!p$A))EqwB}|l{zqdOr+e<_`@wr60?2EETaTR|)kf7C! z<|9d-KaZOaR8FU;?S+8p?v32Pig;H&VpWu{;^WRVPw@T=L7eB`Ad`TBTTy5<^nrp8 z^7$7L4>)aP4fjKV6_Bp8Az?6}wFELP?GA={`w7E}B)&h!nP%xi|@#4HLY~1Uxf~yDIG+N-LMPJwxtimD=-K35a@$-AgH$=<}jGtZh0vhEk zU&SN%2>M^3-LahM`x@UM?J$xy@mLCp7bs((35MsCEbcx{L1`X;*y0OHL;G)JY3+tA zgOJ4nWx|^o-kaeO?+ubKGYP0~DI_ZG;*-l2`f10y*tsAyx*wJw*jkT(EbQI9&qvC= zo3}VGh=GKgems&?U{73pa5UslD^sub(yuWq)t0Qp~-|fOisPh zX?dT|IuSHrBJo;L->9tT+}cl1<5D3766A&`oa*O&Ug8bD=83P7oiEp23$hm&+Ez7k zGq^sjD=ICLUCu{RB{lyIlVwU_drwbiw>Yn4P8}K7O%HcLXNevKu_228wEUCMkxX1A zEX$AhfI18iY4M}$()l%TMgP=Yz-rc=oaqB!` z&D-E_LlUUp)v9-pblrk`GeBj_k-~>4Yz1J7Y$?xjn8Kva*VNZC^*>B%lqpwrD<*a% zW9PYJZ7bn6nl=k(R3t45k3kMlE8`6f{n6TO2(`QDO@{EU*W@jdIP_ycb< z`Owv1d%NLg#0El322*5(7Wc;^)Kn!-7Tb;c{%X6`x88^Cdus>I(ZXRm3UMJGKEdDm^s+2;ZCmeBTe&wK=e^J)9qh_$sCVXl!46D1O+;fbOcw)5$r91+neK zEfng`ujARQM5+3uGcX?^RGGbD>D@{rwn!6Non-2)D@NVB;iU}H!6kgKPnzr4 z?&<`BKR6T_t@E)KdrX3xn4m5xfwHIV2f=5i3<4j-mSfN}m1=vnGD)O`sX5B4IHPD4 zRB4TOStU&&t-5>(n?zbkE-bw`GfMMSh=D{{45eYIMP=D7(vD=-<$EzFv@v??X(*~} zG4AGWU?ht+8P>LT4n6=SA5icZsE^}pnC8Bt4!13>t@u_>%Pp8~_yDjd_6PC!6b}-J zVrOZS&tc)Dh7wMAxwPeO;|plOvM_zIq37TOU~eZ_oqj4KZg%jbDV|IRkA#>*Y8~Tg zahD>hr8rbeaezL0I!Ka~hM>iLqOM~kO>QL3ie$+t!m1bXFsw?_9Rj-hlwrrv)7-4e zR90OIu;uC*wK)o=u8 z(~}LC2H9#cO;19?^P9O7(=k66xofLVYs&|)Xn~pf@Hj~739CV_CpJhVBs^cPDDD_Z ztsBWgMe-yXUp#&X@P>Z7bW1Yi?;&*L5xBT{hob~N7k2kvI?8JNRKwTOC|e*Im6bS02t z0Lky-n=>{$dE&k1*L0b9V;vIlF2je;yxlc7`(&G`leE~=onlJ}mXeHUUu>&|jB_(} zf-?1JfJGxeOdkh2NX99W2@Vno!HLS$NG#qKyOE4hB(KA;14x$QVcJEpLLcKmmk_MU zQ$x3kFv(qW)3xTVCRjJCE^zP^DxQFYM?x@kr^aKIqQAQogS8YpV1a~EwCUq}JWOHw zE0Vzu5($B}BsCJNFu86deH6)k3jJ;edagq6<3N`X99K>a-73O3H>)NntMUOhv5}kG zC9m0r_PJ=k-E8D%qX8#yeA7^y8Cgnhjzg}5;COdxaxG?LxtWop%!mLiIDVTxW;saG z6iKFoL_#p~r$%D&B+ZQ^Q<3yh=!ZDaTdB)}M|V7o1eOpSqGv?M{j1u|@fzj$>LE$2 zdYJ(_ShXmgsDnpB!t)uD0*_USR$S(4&y(8l0Uliruz37QALlzrniL7wgQhSNf)Oz_ z601I%+(?=g$**~W2&8jM8)k6SlHO^k;zj!c#c}}J0 zn?Is;?!B+8b;7#LOpfPs{!m2|OrxX30#KZmS)W)77H7ro-#e)u1~`RSq=l$+3LzmF zaZ?w{d&~I>{S1a@y z2fBn{oJ|egD#9XnJ=AGE>`Sl~d%5<=aPX8Xo+<~AgkZ!?jmIiQwYwBGT8ife39A1wi_Y@T+|WMe=1k{BNWc*B!orFx7*wS-{6Oii`LlSyu# zOjn+q1hA;qKj@>6gQQTA1RNw1g3&TH5{otC+(>XKBL&jhfr4Z;9;OKW75ZQYx`beu zOby*CLX(^E&C2*G1nX|2mpgcJ6;B@rkAz^rOpV7XMX|dSW3&|Av=r(3`1M4)Fjg7GaibgKyUZdNras{#P)604oj zM*pd8wB&oV(SPmbYNMTPo_5qmNA*ZzeC!09Cs5GHxQT}~ZZZVpCLbM-oBiE98LT|H z3t+*Mus$jrBrS>r{wXXZ5`sZ9H4=+8xo#wV6iGjYexw7vNulF}iv?XmFj}UDZdGfm zoAGVR_;-#^V%1Ux=+IxUcwoO{;gJxGo2l_wrO0xZB1cPcHNb*Z z2*$P4NUXx7xshZll1>W!mjQMWY8CoI2fBn{KuZnXDng5!RZ(Tt0)Tb3(R8%Y#o9)j zzC|0|*XL@Z*dN;Y&>wpahCp^A4q$$V>T0FB#-Un5Fi@tZ+TuxTo2%cp;R9g5?Jtb~ zN*^tFnA$B@BvlR)3Bf3t8i~ysH~UZ~Im4s;2@fR-A%RfIIR?le<%r)dD|YNKAX(U-K19=jQBbV_$u z8}-^e?MRMxS}DMU9rCx0WJDAA31SaHqYDYj{}W8bdIy@zL?PR_;bprAS^m zR#^2B_2$0oK( z^lEI>+KDI}em%yf8ov0+6Wr}%@kBx}P^PBZs^Cd(o=jJsJPxpc{*XRu93=IMq|rel zAs8i7Be7UB&W&V(A{nmGhd9s|DfBuAx`beOOby*CLalpvT&Tn2=SL^8Y8?Y~tglr( z3mrTXf`KwM9;+0^?oy1=QrrTtU{#4eu6K}BE0P)qiG*NWOO3=TOraY|K#}xP=spK} zxk9gUpi2k_wA9e8BDA7VrEPT6muREMbaA!OE_UAZu&Tcz8SEgD5R7!GkywREb0f)AB;yqNMGo{_ zh2F=3E+H7=QbV_jQ0Qh=Kv~rZU|o_m6RmcMw%X%1q1BeAyIL)d-|Sqnwr{mC?nyF& z?iy{Q85Qj>TxL{awQ1Sg!Um{V4!y%HNe{eUe5A$N-8zqM3XIbV)@jr_kYQkD5tjYc zDdB7D5sGK`54_geC}>q?P&`90_SZu;(B0hP9$9Szt#QDNae(G$Og+~`zzhMq_qQ(- zS0H;WeO>Er@@J^KmpifUcxW4j7Gj2DV$Wyn{jOMS&cjd!S=4p(l$ zW@UH01njg{{!Cal%dSiK8f)hU{DQjil1fX4Qpu4IS(>asQHk+zmahOGSp(no+ zwuax<8fa|+j|X|Q252t=TiLGI9v&TgfNa)k$<%6T(kx4uCEa8}rJ=hSlWLRT0Veny z6G1yW62Ph}e!d+b)x1vOUC-n%BqetzC}M)U6BERNRhmp@VpB-;_Y>SafhW>Kr7Mxz z9LTOa)NT#G=TLfLTQ{(-BP<*8*o3cQ2hZ;KcCZe=Lwl}{Da6dw;7Lz_{No{7g57Rs z;t$?}YdO3ypr0f#DS~MjA8D<;{|T6W@DAQ5LeAL@CjAKx3)uI+_jy`jJK!GCS}n_L{&s;Ea0b@g`Pz(y0_{y5XJT<{nty&irW7K?9rVb~bN zfD>)Tk6f(gebo*67X|(8M*uw#py@pi=gdv;`wTu9!K@$fW%j|So?yN40~- zhH-rb>;@97mFa##u35y<8XSEP_X{l>F`2%IMaXMUz{&Y7K(Eh3Hva?2F?U=m`? z`u`~88F{}$K|M`SKm07@53$LAT?w8<645SDM36sS$(KOP`gfoF*h0bO{qROtR1|p0{C(o32lZ#d(*^s2+b02YZ_JZ=4beB zyG*P1PB-j*6!r)219q;$zOiH2e-e4)@boF1Pomp~r9BnQT?F&NPi~%?nCXmJW@2#W zrXhO8>rzsWo0@C|a~2cd#l#Wsr`IVbQj`=%2atXaH3wSM^inYC1anpbH74fk_YgC{ zqNXq%6UMS1rAT{r3?o-y zM4M1+?N(@4e<&+7j>9_}rxzkV(Sp9+h%Khj_MrXGYhknwQ+GSy5e7IWy{~mO=po9JEB+0h{QW<|lPwb&kDfkoC29>ag)Q=T z$rKE~gGIhJMBmq@^|-(^vO8aKwyp=xL5lM>6Q81K<)UyHSOd}d4USByF1)WZ2bh2kF!Dh9k00%fbB`rHEB_##w(`JN{z;n7;?SQ+ zz?r^^p&}Ae)^9G#5*;-;v5W}^C7yZ{#kxWubiks6S0`o%i{41hqOpV}M`cXOUp~sP z=nAd4LGOY^Gk*Y!2K(+=QL(Oc2E@8B1nhbDGrCZv`x1{Qa7YuRx%#geWAWi%>k{|~ z8o)f^qwn5Se4PJ?@Nqv&(I-TSv%?4!{ld{MbirgqZcqW44^u(u~m1L$dT#Vb;I+2*v8j8*;}1LE*=yMwcY zyRLSTJ6p-!uomPVrsPi6c;C{|Y|elZb^eQ)YU8d;k{c@99QJR9XDQrimkVNoW!pV7kH(=koV-75?Uil3<1XqE z|6~s+O^-JL`8TbY2l%(gn~*-ucgIV}DS2)tKaO;lEg$u3gu`*xk;haoA?w^u(t{*iPS%?5Tfq@!XK3S*f2EZ6rLi+&}TNUxl8gD zQA?IavWKCS<4_{(-FO{576exXd^cdxgH!ygqC?mmsTct27_Ni zFtQyj*>Z3PZ@Wd5rx^;nXh$&((VM>JvTj4_1Uyd0p7FX;(v>KSfs(78W7CsYJ9E)~ ztkq6A-et9u8ZbwpNF1V0W<-q&{;9VB{`+sZSmcpTB&mRkJGK&IeaBGFu%WD0C|3~5 z-`!9a5XwxQF+?wSwfi-i<9Oz{89BhoMiZZ+-Cr*4zGF(3fRkFDw-oM&YXJ8Uz?GS4 zl64Ii$FLnsjOs2({hVU->ex2GR+L6vEnkO zb;Xqid&kZ}dU3Don{ygo;)#f#9G@JCc(d%;J~B=+@s2a3Z?Q| zK#{Ge*hIop@>KROSYWgOZHj3w0loW`5(-Ram&?T7z}UsESZG*89`J4jjBm!hQeqjl zDdgjs_hu(=CR`y=wLR zjOM`Vkx{8&(JDax>C5(&z;o}W5_mvAxe_up`%TPVXR;?$f=N)!1otE*2p8sPCBz^) zlZr8u;~`*ae&s^Pp_diPTnN7#+3P%^q|Nv4L6SscN`1tNRLA`dmXdy z_*}CmG+dM59wzuCF#)#G`p^s0XQ)SQwx6&@m8mK_Ce)f{dz9iSCYXC1Fs9j>*y9;{ zvn$qWwzlk8FKs5F1br0pk1LS3z{#u4cD>VVO}e+4E<0s9Ef4%+NTbb``NoD4+&GK* zM!4E;uj4vsui{Uxoifh5<3iVnJxGZiK_aR@1G^*MjV3P5vLrX4m%!u+*%z*Gh}KHb35!53K7>8rRK4JBF{fX9j?*bo1Su;o4; z6P2i2{NhC_&h`a4qTI?s z@<6y!9!OGmCzV^iid!Ujz65JIjT@6Ev8vEpXCkhmjxc2>mTL_bc7$lJlvvIaEG505 zLQ@QWh*?pgoeA*KOA!rj{d~T~EqKwGs12}a8QR$08>R7oDDhOEWui-WOcP=1bPru)j5gI}va@!u074 z{>{t=Bt6{BC{tz(TMA~}_K7fKpb$X^dY_LXIsKusYOh9UHJZ(Hwu)%ogLI**=(&-sGkIqPtP;X?E3??eUrqS3^g}N zER%P+N#X^(nwun^lu&qPU)~}fzU2;yxd=?WL*g!_c?u)s4vA|_5?N>C_%rIYAc8g< zU9sU8`wj`A4R>Zlzmf#r6Wy6k)l6fUDS}KWM9FO=*L^#}5XRo9u|J+ms#w?}Ne8cD zBsy{;jsT8q3xsi2^<0d08G+CRnSt=|EPTQx+Ub}jU65mIb0c1#WX4%`_nipq*cZDf zo^LCaX{6{gyeHg=aFY-LCNxAJ{1@xddnrR20M7BRkI*LtNC8@a>rRC0h~?prq^wm2 z3-3gjAz1J-g@G*L-T-Q@B-j^cuibYdoFf?>&%VQ~Jf9THod{pyESuFjsk@dt5%!l% z)}095`DNdU@LPrX0^TirCjox}Fhjs!39y~s^#A7O0I?+4{mTQ+0@Km(4yEuI@!>DY zmj|4NaLkd--s_ZlB5eMG)?gL*&zue;hm3vSxQX}`GI zhrNX5H!O_zq?>zs|5x1WuWbh7cHv(Czvo1Mj8@rEucFG%24?X}udeBL;Y8ndWS{6N z-vE5?UjX>)`#LSGhevx{UkHEte3|+Gg{yo+)-aNF7062IeP7zE<0?NgT}GbW@s|I= z%MfB%V29zZkq+D2`NY3S`Mm5E;Q#VH=^7pQ#9zu;61&FvCwuVpo?JwE?H$Aiop1G) z8Jzb)KnmC%M4#8MF(f#pVOf*0-K(A`hNu5^fAp7X`8K|c@@1fWzsn!}f79#yXcSOZ zT*c87=|wm{B~&N0N)n|KJwY1V6*5k;l$d0W|~f_u}2IxHqpy#f80< z41*?0D)Tn@&qLTv48tYs=;7FYze&O_A)Ls-Bc%!(qQ_h(p=Y=uKB2i!U>E=j#CH(} zwTCr=asGli0`#k`Xo2|06htS`H~vLtcG#W9B(yjOk5(vD@>W>t6wA4ybr-F|L1G)# z8S{AgtJ;wpKl_*x06v@}(|J7_*XU-zg^$vr@lknk{Fo+v&NxB>Qn@vCUu=_?S^vDm!z8RyN(4 zx-F(*)><#jhY~4NS`Py1RgHc3A*d2jFYlHvCg~wBPfY-aDsj?2D zh#`(qR#mEOut;vnbz@t{FV%$o4n2IzoBT{^$`!uRGEH{i+Y5e_wHhro)!lj){e{v| zRM}>1S1jFKZUX$h6TZ?NY&#vVlN|ifX2w$Osd134&-(|K9iZ2gW&yv1Tf2Ytj=^P> zjH&}0S08@Z&`-B`heBBXLjMHexb`mOH`e%U#zF_Ch%H=_Or*AR=}%c%kBZ_R4yoaFk;S zJu$d>lI$j_P8&tdI@qcc|L(Nl)TcqrUd+magrnx`#e}bg{F1J|n_elC)UF2TWeGq> z*+6$CKdkmM$=!ZrnqqSz!+>T3xNbGuLlY{2c%eg$^kh56hqylVKzY9g?7z1DAdkGD z?F7r#qftB!)AUC^VMU-e{`bQtg|>tC{%ww>nOY7D=Dk z0UBtP13@`WMt=GX9R&9^_ogOt`DeLusZwL0ZJD*K^(acg*ve!gu7NC>X%W9tW8!(A(eyEXu5v~Z{Obn4e8&L zdffix#b_D-(i#2xqhzg8GRx;Q?yoyVW+>3hyu~6%3JMpYCazw?n(*$4NE84!=7qhT z_zoido5HwF-5(A6H--E#ae_i>vu_S<81c>vI>O#}hFdV-R+yWg1I&FC<|~NAx;N~7 zo-c*zd80Q>_VNaFoT$>&OPTtMH-&<^Oq7NS2zzhl>*x)EzVL#cj*;Bo3OCZNX(a=| zi&klUyp~gfC<;*n4bjzvVig+vX0CW+*uMcpQ<`k>#EL|+dJh0}E+zW`laKGgC^Q@m z(JF!9Z7bxWlnnB|0rCPN?-qo#U#shFI7Oj%i=ekgyULd3BO9RQK? zQk*HzQIEc`4tw5d$M_eDeui$DQ7;Rh1y|l#P3y3y!>4wKfA{cN-C^SGS)R9c#(|aV zW_Agmnwhs8R!^ApF~c-wcn}%#)*{7zbUHtNMW8QCtH3zk4``$lB5})?D>5<(R}9L; zF6>{(YjadzU#ehp3HA(tHJ9(?1je@w7lKFhZ7T{jj0kPltBMh?pttc3F}*r0ax*|L zBO?iSufX_5D{Es>Xt}x2F_8BT48RS#=br((<~Ic0taP~qjm+VNXQJ_sLgSCtft!%2 z`$x0DX%R8Q{KqqGJHn%cLrtMmyH}%0G`jomM8u=_y4Cp!ryzMksSh`aLrdDAmT}7n zxg^A&g{9Rbdh3f+*5YB$gctK3_lUT`wZk6PutImb>=5$e3Oj_6A;`k$KsZ8l#wJ+K zy&R2=Sa{@QtnS`R>VkWo24|KwV%wh{IZ-)~-7Z)7r+{&mMb=4p7mKWh%ASD2eUXOB zOuQi%-ss;DFKMw^_|_yi`qM566UQ#LlW5*VD0?soH~ka}bg=E9z=$C&Mu+|#BjSQ6 z_Jialxv6MjZ;Ul1Brz<~UBJsL%r4*_z=X~)@$X>PUm7S=DvJSAr!OXQBqK|lNbCWI zggwd{mLh(&Lv8}1Z9#)*PetS*tUeAbMPwp3J%z|_PGk}yY}cltor>Q^%APL2{0jSR zU*+8hcLg829l>-?ypN*1gHRW*LPPLQyEL&8TmovWMsP0PO(W=Tsy=>tLnYs(ghTWl znzgj}ar#cq#}CzaR!Y2=zT-75zK8SPP?-xT3^knrHzTnX%N7*I=4GcKDthq}Zb5ZH z%JL=rO)J?GtmGTYI%|vFldz3di$FW`8O+>!XPHmY%sOMPYyxJ;=>cF1x@fa}Nf*V& zk;H`~5{Nx5ijwHFf5%N^mGtfzTq0gSOJOxUzXji>G=`E@%gB%D{8x8Uj5;jbk&Bc- zz(ODS_a{MxN`s=%mLlF8V$cq1hqi}H9K_F7VtUU6pGj43;Kq&{sjP?D@Jw&8jV7++ zv<=+85N+W3m1y5Jt17&`fu2|mLnvbKRVYO9N~VKJl(w^?&}T)Vm`&6pPmvebAwyVx zgKe{B`7Ggs6F+yOsRTkT*cdVK_50&Uoh0XPsPb_9)`8Tt;1a&3`9??(b`Wqc&rag% zSxsN=A;WwKYY0LTmrFY{ex>QCPXu7y2E-<2#zu%1n19Owc&=; zqC$aW5y+rqAWr=$kU)5ewleQsCuO9K2(36REHX13$wb|S=Ti!YWpKuVBs092L-GX^ zJv$cn!HYk%d_<@X;w1Ebbll}o{RLO%hAMI62=oIRVz)^vWtUaK(50XpArK97mB1Sp zfWW`jvy>1G!yp9E_r2W`$OuH1P&)i>YS!TdphJymZfGBm?a8iZo%LOgiYX_xW{N}G ze&_^OMfY5QIhqtCR9T}5Bpo0e^|_pi2_((hUU-x{fmb%Wj4*7SBPMKFC~|1YcHzw8 zR(Z^i;^CgX$qG+Q7r-V8EpH9T=%@`P%n-b8aIY36sAE6; zE*k}xHTpzb{^&`Iamr#RJUw;7%}5BAi}Y7C7K~**N$tCfIf9WGLy}xp!*4MDN0|)KRgWa;l`C zA*u9myOiTjNXd@17qOILO`{hL1|E@7jn$qss;zmHNPD7y!6p2iHERGm8$YF2+dam( z^eA{3J?4rfrpH{0bZI>9w2}Sh-Q$%Qh#G{4E7{hByJ*fAj|W9?d&YKWRj+XEcwv?I z9?ct_f+KERk0j_5KCG3!2ex1;w5(P8?9H{z99l8~=x~7%vASDx8_kUT<#ziWbUard z{(3v$NCvoW{*Lf|AvpzaH;TQ1A^OS?Hi~HgU%rIDY8S*eG>T87XcS|(8j3)v4SbT< zEK;yYzAG_rx^-}|9iSQl@(Cc-KOWYK#?RY1zGtV16fA!Tyywkqu7iv9oD83NKid=! zE|LXQ1A!~D(tGf-&Um#>#*3y4=?}lwKDa3Pb1_H6UPyI}`hUX#!hPgB5P*Y=dfiZa z=H!w&f6GfOU;=YieuXmWxyMw@x%MxKdBL0>pgskcZy_K(AfU{-fVWUNWdfLXUe844(4}7(BS7SN`B>f6nh;(kH+Fw8{BlJAhN{u9Sbmj9Cf}{4n+JfsC$J;F6`HCXT@;$wcNqI)ofGSO zaWo*MLze-Cl_;$mqAxrEZ6nDXC1hf3faVWoSgWGLC`_!Kw+n|~F#LRKE~-)dP}y8J z%fukjdjRuWcKW9)cSe$e5>OB}$*scEOH1>VrS z5IVR9|2)k;Z>WDEigKP7#lxcXK~dbr#S$3j64|ESk3N9oFIxX5$2<2S$B!?{F&uQ> z`PjPw3LJFoaR$ojd$BtW1)8e5cS7J$|I;+Ko9BtoVIbPWsmDsQ4f`L^CVM;*P6kgXT-i4WMKaimNN>#tQ(xag$jAnbiMe>JMK?OdSXpXAQ?$ z2RJa+h{#twD%<|feVmJTwH5EX$vbJ*Nh zrV`HF;v)@k<`%0Np!Z7y90Y{-g}&f0Oi(Hggj9~MIY11R3sD0$)VAXYwX{5-3W>yt z#IV~rRt`e?@+JIDFPW$>I^Z%0g&oxbw!cb*n2B(VJMM0dlg32(gE2BR4n|rG^YTEF z?C~-ksKjU_L6TD@XY;X8j7?-}_q6F5Q&xh12h$sZ=Rgzvb4u_pUM}Ein{2?>n;G*- z03Uq~(U{yEOaM0?Z&?f{jCddZ)ivVfLgd~%fFd`ITU%l8#eLXvh2HMy;fVgTiN-$c z(CAt3Q|@9!aO{U42d)JB;g94%quLLL5E)ea;VjA8ijm2(AHLDCAO7Yjr9n1(#V={3 zp!Z+VCQtu|3=9A1ukn%W*CgfMVO#_|{*A!$PhoArB_1VSPNOtxV>W!gkl`{LuCYch z6tk32unU7pgZCP~gZrybM0p#$7vPhBE_>iP`@$ahbhwtbEnHv^{3n+^@JYyH*#kqb z8C`WZJ45!q0{MS$4@_Gcj?d=eIrGke1QjRYIj;9yzH9%3rReOk?Tz?`fenL=Q$}|z z?ObR2;3c5n8+a=?39FWANr@BoD=_FULPBgcVZ*W%LjwjXo}WB^G6G?l2tlO#GDV@5 zqR`hVygtj}r6j`>h4Jl8QX>8M)cvuZA8Pl=eNz^RWv_?8ZR`jIE=rU!*s?m|NNkfE zbVHx&K>s~9Eva_CmG&bPdb4mQ$AP{(_5i{j&@Q8&;slEUl9EAEeH_@Qk2 z-x{|l^o!ll&vBraqY^kEu@cHr2~|6(g#M)6Du_reG0#Gq`RztikSwj2Jlm3lhY}G6 zA_`d7zRIpvKyjv|EzHbY;j7%N6f|?FVlXDXIQ5ALV~N6u920?)h=|}?l7JDBYa((b z;$bJEkBR6b5iBNigp2z#JY3ix_G(iZ0vLl$(!oetdYK6?FS)@~dUR2l<3UDCx5@c@$+2}3n(ic|IUq+X80TbU(C@^{v;_|1^_ zj9IyOm#(;#U2$)GdE?!I&z^UfIlVI*$+AU2Ltzfz+oi~KrD&Jhz|M7Yij`Cc*1Q;G znrVZyf>tD3V9^oi3$5JufyhNL^`NI))B_@6f8vS^E{FX|<8Yb$=dFl7@;GLbnCFH* z0dD9$)*AI*L-dL;W^AxFqG2@+t&B!Uy-`IcYCgDV+DwgstjQ)lAr6$xDVk-pNQlCz zqBBPnD`;2(8N=jO$5IPL5p$|t(TjAg!X$2FtcrdJ9ai*CqOdhqxo&2)07De%qnONx zM@NNOyWmLJ`_O?nVr0$e8~j_zNK6KC+HU6lLH||{CdiFAIJ7hu;hZI(us>E6V4wFN z2!%0N3sD&bX&BdHI<#SQ`Cpdad$!^L6JX?~O4y?kaquiu*n1?>@${37f`f2*tf9h^ z{%ltm>()Sf*WEYg2}~uZpNsUYWNER+=`~jsKXR*VSA3}EC*mC$=8goH{@z#gwFV5X z20b;#S`T)CA-F+X70p1!#4g}0CE`6y)7IiOtgj1^trpp!JW}tvRL_*e4}ox{_HIBMS~OJ&P7_hsGh%h{|n)OHJfp@DNpu z98fw1+b;3VnFBKl*f=`XJPqujeov}-B6($e<(>&L0wJ~ChJ)?onro?(Ks4cyZY+v5BQm|OcSJlVRM)u?pF{^3gS`)(X1dO5ZBJ60D%)FJ7SkBi17-dMM3mb5N4}M0?o!# z5*4_N1npQs9Hk&y6~tQoYEvpC5ElZapaNDUJ7ODX_!05OtE3LnII2gy4=RXEeuXO~ z5EmEmD~XEP1OdN@1o6Cr$WjodSUCzp0?nRc5{Q!t;sOORT|wk3i26A~Qy&E(f#Lb` zl?37!>^j8uQ4oFwA+rgz3;ohXka)(l6gf#C>IgzTZh59Zl3uJJ&QTD$rWF66phCWqs5qV=RCn&JQ4r%4grRAIQXzqfPOdE~zJnSj z)rjq&VKyVj@6l|O<8vzoaffoesSVkT9G@iF zD95joTph@9Yzx_Pd<3#UjvtKlspa@2Caw~pe?(tN+;2D^2~GwrMxTnrBF8f%?yYrgy|{!3kc~cH%k8YUe&F7 zKa1H+Pl-v1rKe;mgbsU3Pi8YcWwB;sPx(||JM1YR{|DJjPq|govZt&L?!2cw&TOWq zoGaPbQ|3vo4tmNIW-&9K!;u9&|Qtrl-6pDSN~vWvZU?I#Ze%&q07MJ*5U+H@To2*MNp-cL`X9$co-&*G|EOraC>L^$!#mR5QU z(4EQV6v^I3)`xpMCE2Kem&qFzSMNk`?SVzYFu;r;a_OO*reYe`hERmv%nUN27hHSp zDWT)tDc?rQcKE~IVTn1fJHkmNJV9ZiATyeVZLmQi_zNBZkx|cmvgwU=5m)9X;Kz5T z2k}^#`zrnc>iBZ!ck+@xt1DLNzSyVoe&KD3f9%sx^8tQiM;<1fWhophnNI z2pX3!=yP+{+YgH5Qatjg?A5dkA|!<|!Q@`8V$9bUaSv3S(tq8EoU# z-RCP-KVdEaObo$Mp4q8~`wk@L`67I0;+rQWaHkA!Ay7$Cki|?lGLtboaN87^6TK5) zh#}q?jix_X_Ugr^rucT}v#gx5SC1{(pTE94AJp&Ml6~-fxUcdKe6RAa+BEvaFc#rP5hlpP@%h`?5EwDlhgf7APs9NV;EbH z=HlNSjhj(}x$pK;I12$s-yXEz<{8-brh8GSwJ7v+$6EW5<(6vAU!}m#wSk>s1KX{w z9_(evKxS`1NfZ7s!_yF66uz=?csTuV0N=4GF>e{uY?%McjuDab4~LVYDVfmp ztP-UWQg`i%y<&eud09gm_6rHUM2^6c%yr0Q)g{cE1T$T^ufwER z%@lo^B61dL%-`fb$aL8aa&<_P*m6+C2a$a*Gql}J)1PUVCz|~%M3iOr^8nt>Y?2DX zhUj7_+~gXSeUX877g;nBTL@Ts4 zu?&gv&gmfsOIIv!>C|^ch=-hGo26-Jw|o!1>;EzLCg4#OOW1G%41~=IDjGy&M;1|B zP$G#2!|0$vQHgj_alr-Gi;{>7f#4*PaU4ajXxwuZH6mA05rdKt7MXykK~RFAL_|Gt zqJpACLG!(DRiBwNlR@wO|L1$2kB7`Tr@OkUy1Kf%y1TlYVReo$Zu5YKGI#}p4XM3K zs`f6BI!;KLrf^ujDSZR+Dj_-(0$mezc=8&!W^yB!0SFSHaV|=`bzZNG*3>j5^)U$ zs64Am~ZSEe{2A02l^S0!dQRuHE;-8)rVcm75%Hd@;X zcBSoc;S(SIB%A|{#7PZloOHFrx>Q|_YKu53FptQCbMB?(Me+w+5(e7GffkR4^${>c{%b=x zIE+=twp~Zy1IRpz?6e57|JD%hOV*L)T)enJSLa}qnQ&2GWLJ&7TN+vMY~j8uZ$i+BzMM9nr>4vK)*}_ z(0Bl?$xcb~hO%|VIFy~HI^Zd3I0g-mYJDHPaZM;fXqpmwoj6j3kZKn6{?fee_?dOQ zuZbhZrekIujk)r^bpVRh~mV-(u!Xlv@29!A@YKV2B08I?9l~{d}OaF8xvPvl-P=^@LT#Y8vLOv?p)w0%khr;}5J!=?^5P5HvmlE!?#uIXdz4+}EJ|pDX3wPJkPd^>` zbnU`x1Gc#FeLpgTtaqgZ;ucBVFuz3D4aXAJSZnX9p7cTPt=V&^C&pU4=ToC31|o?N zmjePKn+b}rs@@i2G780k(%d%Dn`c2rH~x5+WV%KW%4mSd_|W z^B|bxDAw_LefjHt@KF6e&t23`_54D2(H}_Fu#ZJ!)N!{K)4y&(G5u6Tb>uijOaeLp ztEIDp5ttksj}T6}e6pF@iB68~Q6%RQ$$tRrm{M7|(P*`S8B7>I@fik8_`wXnRTwb! z;kLMxyKV-=d4Mq8%@H2v!dQ+iyPFfIphe@1+reN?t6_>G-y(xx=95JFyDysWe%Q3p zbd0;017=l}+msG^GSC07*|)*KqZoTd-VQUk|7)Y8pzKAgg;dgJg9lre84&s;rR_l5ey7#r&UONMiESSdxlS8 zxZN;bAD8mm8v$`9AdDh($^J`47<{cNLiA*9tvN9haxG>j2FBGiba;WiF|Ew$P#v@~ z8?S5G%1no)rA7VE&!v?a3zpH!oX1DPR_05jVAW$cc_(I+(aLm}G(%sxfoT%8GXIe@ zj#lPF&baA}-1c8%TbcgAX$`~B`#`M>*L>veL!2A6OYS6WS8+N76&_$NC_DkJ35E|h zfE{aXA%!p363&PH#>PM5d3FWNgmUMQMK=hig0VW7BGGa2qgldDIkWuCVl!eecQUjg zmC2yuvxa93(%Uh;7>kQr9=ciHj)@A|CW-pyxE-?}EI%D;mpg~r*H5&E+WCitO>6mJ zq**8yrRB!SkhT48+E=T+fvz28pQ5XiY*eLmo-u zE2!w{e()Y?rWWIzF8F?To*du#AvX6Iddq(PSB z&tO!}U<}U7`4dzE8kpEm?aED9hn)o~HZwkD=FV%tOx@kmAC<}c$0Z+`p3Fc@AjA?v z_zKD?6BB+q!=FJodckrt27h{8Y})RGxeG93f}=TD#=64q;MAX;((rQ|)*n~4X2LN+WtjoKu}`SPc}*CDe|+J^hGA+J~Zw{Jprw>&B3Dz)Q3Q`6-e2~EqSQ3QMA2m zw2x_uT~{H+c~P`bG!yeFV}>)v)FTsK#qb`I;xbj;Z`K=D4a8G~*msArifRkB<5(fe z#FN8K0`;BDypajknFQKNnV9n#vxqT<2@{^q@KQ-(n7Gnr!ay8Oh}&%@;4J_yX0wqq zh@LX}zY<{QIT5AVhz!Jsgcu5l5T=RnFMJv__jxp9P&=8d3%UwW-|)rRI;folnhmpY zgZB$+F}>5EYx=Hx6$cC!R-i2)0~}t(A%TeWMfh5z z{~B5gL#`07*^>CczGGdO2A3JTKGZZY%v^_SngcqHef}YYj!vw1qgby8qXLz&O+0ZM ztk>IMO2fQ^>4AwWT{OnhX}^gwam7y2F>w{UzOH_Q+GpZQuU%gFH_)XV&TM}8>*ENA zKpKBX2d-!{%K!PO^lkWmTiR&<4ouGv!sKNwhE>=vQmy+%5DDmVUB9^WWGd?aaBFA6 zt)~W=o5&)llViSq9|N{!j)ye#4RmsM@j6dL$Z%h~12L>FF{3 zFxr0&roC{=@_N_@NF`S3GGu8kOj4Y=FOyQOF+Um?)DDxR?Q2RrZ)@&xnQ1Gmfv!=O z{Fi|xYnsgxZ#tcxML^k#C1&~i3VAsps{o1fQUsMi@C&1?sh0OE!~DesG z_R;&LS}or@L2Jw3&xNe=`o02+r=+2uyWRN-E$fQH4pz;99$gzgrBnODs`CYLGP^ z2V@b{U&MUX@=J9^v^f+xV+gt;A;v;z*@WAarO0hv8Np(X(7G*#*40s3<5U~ixv&&K zOG1o6(~_252a=f1rj-&ytF6$|6V=fFpK<{XpQ64L9Y9liDGN@8R25Fu2x`625bytV zq7XE7zNn^BR!x11nnxXUmn>k0eU;P%j{G{vP$$zf0Zrfho4G_%CW|t-ZPY{!W|SXM za^xq|xtHMJRG&38W9;;Q$c#i}_o4TaXup?%cj@=mc%DoOpNik)@JaY>6+RZft-}NH z+lFgaPrAZK;9?eG&&1`3jy&!noaMqhBC*^2L03wNLrvW~)3GA1Rea+xNxnvaCju@}52X~0#; zT7opNU0bmL{Kc~0F6hcd=Z3Gp--MR}OxD{N!&*o5q^cB@Qcp&!p7a0%){GlzYg|?; z!_b0v%y`Q{>>Ce=iG(n|6?xB^nNkDr83ED(V2&&7$hB`0>0wWFo&#D{=PAL3gzo4h z*vTenAnqeX!wn=T{*y7|-rgP^_rej-%%c7veZ9_GKYuOfP8a$L7Dil9K4P@5U@r5F z0Y8QynS)56gal}(Vcd?%ovQ&Bvmx`(iH^yg-T1I4cMAR?a+fWOLD#dWOeh7}xfm#x zz{L9)i&kO`l;L0ov#(_4sSCl(zU!p`=1Kv~tTY8+Anqc>7J;}hB`|g&dY47I;kX_> zNrNSG$F8=@mf0Jbs%-uJoYVI!fZ_#35x!XRV&-{1xJbVKex7FmQCy`cY88dFt3lU& zib5OKzuFsl9Z~dD6mKaCX*PqdvlInePqgY1n0TJ2A5m;$bvA`PUFDmBT4_Bw#UbCT zml^pQh$9GbCm;gwAz2wC;Jw)E(lCcegRU&)OWOIMYXTCX+}YfNF9}RMd31j@P>fa- zhbf9-OoVwsR6U>=p(rFU@kGEFqTr?wB>F)MHcL@#IA2hB6@>&Qo(E`46r7F%iq(o@ zoTB&@l>uB_tSBTf@jSq)*JJOhx8^yW5jcwS=wex&W<`~2B50B2k77=XvM_3F=D!L1uuNIPR2T5v4a|3^i_ z-V!SU59d%8E4==V9B}L2`C~yA?F15rtgGIag6l6C@RT7hWn-CwBQty^*g}6lQ=8Sr zCsZL{K{ID{xK>&p`s0SIRhW@Qm#`Fti7vr|>YlhuI9-udB;^mZaXza!ClKe7Yiyj@ z34>J*Eb$LT?^K8ygx={lr_i8(63OC4lV-$1Vwd{{b&uGGwIfHGLaBr})k z)~iF_G);|xc#RMTX?B4q+Y52jQMgr#;X%g#Fj*R_I_V5HykRgHh?@zqULfeAnw@1> zvTRH%6qAQ=^PQOH*q97NUqakNh)}kdqTkfB1p$d3d zfrDMIm3kaocYb;|T6YH2h?2AKg_4&ZP{}8vbw*yG{zwzK7Z`*eq&4 zDd2hzZy0LG^+y|(-&>im_DY=X;wnNc>h?C8oWY^ropqqPJr%YUid9;#`D-AR*H8?! z&tjZ>>>VG_{-(&GFlYdw`7Nsv!l5RJ322 zad?gB7KHKAAHon+*Ha%}s*Kp@e4`e_7AGj9oA3lTAuA6_>>Zb;j^cbjt%+27*;Dza z(mj`S|8s??idMn7uNlq^#4&^j5F(g8j_8~35&%=TL)NX$5ecd1*8ypE;8I;M^RqF) znC_%`q)pR6R1o5DKtMft?9y$%rgrY)k6C{V`<)flg9QBHatHexUN!6+h?@zqULdlk zph3gZajiGlc^MWL-+}#<#PcSDt`%QE*^#%mKLaX%_LT5lHlZ#`XaLa^I|)s(2^oks zgqYld(1o#tyoS)ZHlZ$6hbo2DUZn4f^f6`ZY)_yU1r<=RYOsyS=MC+Ev6w;Db-6>MalP3xK9Z;JVM^r)ZSfu4~qlW%qs(Bc)iLa43vX` zvP9OxBnJci6>$L(Z@WzM1qRHy*(UaK##T9F!QKvzHu0PYHZi{m%i?mBB^uo@R6nt0 z@%57C=H*ktuXPuZ!Ou>oJGWamqhg~QtVT_*mYSZm&?w|kWpEpWYK>$n(-4~Q)eP?& zVQrW@9E@ZWQoV`O!TW~>x?VeP#nQpl#O zF|l_sc1tAo;#4VzPZyYSFi_(O^(LUO)L(6u`X7md8Ag}~0Yks|aU9P(U6j^fp*2#> z|FDy$D~rEiuy2hQCU}f=_7rV*ru-hchk)(QAXsfwz0*IX7G+Oq5br3H*-XXs3Ndwv zWXINM6B}e~CE_uzH<5%2JAn zeV?&|ov}c7E=H%41Dn&|$_=Mp#W|0u_WXnS7EYg~xsrg>jiRuW_0xm^YX8TEQ=Y{h zk;LOR{v5?WocJFR{Lb<#0y;;(k#2C#+N3zc*)TGevDv_WI><8d0ODmBI1o{_Za$Wx z$t5Mzv~xN2;}2ua`Lrt7B7HF^m9qS+)3fYxo7t0&e&Sx@TAOzTWRMTmV0*4RjqPfz z?Rh(yGM3K*jBo&*bA~j(Y>Dee$?E}I;!Q{$^6s6pTfx*hYm<4v3 z8l?kXGDT`2RuZB&Af(q%&N~c#7!N!Hj6SQauPNC*=V82uIRp^AH!9xynJPTNf%n4~ z4PFCr10mK51WW*Ez&?~#c!G`R7R57~P|rK@+-u`85Pb+S+kxjz;&CI};EMw%_5rd( z^3V^Y^rnCW>fd1WC>^y&c^raI+@t&p{YH2ow5JgrGqmSAflTB>dOaZFMu&W?!kcvv zG(+e_ce1CDN5c*v;|72_&Nrtsp!048Q) z%mTI_MNqi|PiOu`0mh{BaQI1P9T=PyQ;s4gfeoGYa7K zt%OHJt$IYH*99-c?QjKy>}v3?3MUhKXFWAugoD8=9TOj^ZrFib`!B1*RlOKSjPz`C zKlmI%@NRAe59Dsb?W#G0c5wYZI@QeiejEQP#s7IG@Mi!&HmnS)lhK-qS;d$>O1U}) zp}UGcTOBKqD0kDK&yWpXFD{9#Lx_*?PKcf{`n~HzhY*jd6)he613{f+Ut- zxUYG%-{N8uEL$$CU@=Fs8L24tnW3u-p>XALRm)t=Zq@Y|R9oUHi=RTF zR|=ZH+|s`|u5;ZebG|8NrK6W3yj26&S>q)PPpa{Jth^Ed3rxfO3C>TO8( zABZYpdgmUrkaaSyABY0++}RRVEDtYpqSFD}&AipjGGsRw(WSAS#$-8k|fM1Sv^UoX2 z5c`tR5R@LHPAMU6qtICC{ijtZN+?>$x@Lbfwpk8FvcgLKCb^5YF)B(PBL-&lc9Q?( zyaQy^0G&^uMF2WrMrCOyB)+>XGHQ@?B9a?{Btb^ktB;F+UXEtei^mxMdxnO|G2c}?OtL5?AM(plxGn6s+BPOvv=XLUFHEGz4qA{h%k~#!tiNE+Hu;#1eZ*yL+z&ySf z81<_u1SE!l|iw2Z3cc%F|}iUrA`8;qrpl?JR<-^IcjhIK7wBXM@Jm=fA^#eSg= zYci3s77OHZ1L>^Iqb2n{2L-{dAEJ+pm&wEzK>Y>G%{$E%!G(1BSxn$M4VZA{N_RZ? z4-qpoVkkmFu8t-=Vkyl#I9hG;`lqJp)7y(r|G0qx*MmT0?=_B`Q0Z$-!|{~< z{2EB(QUFj(0-AyEDT-j%`;Tua5$}8&;XL^y3!@n5$Wg;ZM|$y*P)FX76g5cE3DShc zd^MU`Sj<)EN)lVlg-Br?hl1xmVe1SGfu?tXSY07k(wd}Xv61bq;j~BNPFZpG(-(70 z?wG1ZQ;}O5-&MmN7#$c0VTn_%C%tjT!DA+G8Z-Gl<4+sB*gl+{VX%Y%La1&rQ0T29 zUbh4&{vOB0y{xUwmnWhv$vT_n*UjZb5**ih%;eQLEWhBq(Iamzp9ROUa$j}pQR%DE zp}wMt7BW#sB!b}rH_LJuZ9`z#B-g$}Me_!Sj~CNFWMvL%YQ~6B*71z1!&DgbO2?&i z+(BMOEmT~i(%&-#+bF?TmEcGcd}2(5ps(7cG-2De%E&}F%gs9H0FS>8$N$UE%x-vC zg!Aj#c1Tvb!QcUaXvLttW0x2QG{MHCr)Nx6z&i|J+>)=uQ>&-f_c01Np!bFd*YhP zN5FL@A2Nyax(coB2@u*fNQ}rFL~}XTXfu<(<6F9Q2Q~{1ccFwf-%> z-`dXX>H9r-R(sNKivdcPDnsIVR70y3NH8aDU6HRZBd0c)K!=(@Tlw-Luf4 zQP-dat7jf5uWg|a*n)4U4JX(mPOvEa(a?BJ0?LDIXLA(2IFp2A=Mqabl0unnkB0?j zO{K-^w{IorZCQRfL-5NhJwIgaUI@Y#QSowY6oGr+i%`vj2)4MkF1*qp>qwm?nS&7 z?HgLOLrC&IkVNFIM1)JT16{A<8*xwK3+{5LnSp|a{7kA}jzg;PlB(-Sf*A5g*5t%?c6w@Z)mN(GD6_aaN(jDPgCH*D6 ztK4He3#q1_MJ>)Su3hKdw-6-F$$(8t1TwN~Oed`|6gRrx*2h9d zSB*9mi(pasNR8>lvUk*&GNoH?=tfe+GEftC$xt^EJ@at83dSI`yTkMqs4<4En}&j| z_p=>}!X(m#&=I48O{QPBn1HVjtyiHepw1!PyPC1V#NY=8$OB2~<%}5v8X^@?vQJ^? zQOO<{fa>FSUH3ioJK(y45A;UG8SHv-;{@yih?#>$d_93Gg|89(`pYd%>6;zmH0_j; z`f_D1cnp|pJi`GN719BvK3An)8ZUKZ3Hvb6EOlZ-Gz90c^UPNntO?dmaC6~~2F^Vo zoWmLLpCylZ@)c)HkJ_Q_?GdWhiXLEXvDEUh;r;>CG>aQk4N;j$ISO$aKRI$ z3Je=5S=W6p;OiCq13auxKLb~O*IEr)$Dmajv_^wM3|gc?t2Age9yZPkvq}o~78dMn zdwW~_rrdrgev@&l8SSj-sTOK=!F8$**A(g4%%nTrUBs0Sq{?>}aiI!t)7(WqeH)oq zfbU6pQ}KHqt~)K*J3Q}d{2rM%3BT9oosZvZ^3K6;`#cYR2j!iP-*fZQ@q1d{iTF*+ z!yyrSGxH9{@4&oH_&qj{oQ?&L>^{N+rH2XkR*sL*N917CUIcMzr$g7TDF6O~J@(CW z?JA}y*a$qtLyTbJI|C@(NtK+Jj^9=|#k*in>%5`(ZIgF2etF{-Zmi<5PJ4J2oCxg@ zWvG*W*%qslHqXOAW%?TX&S8EAT^Wsd!Q@oI;rRH7p-I6**%+_FyFWF&5w8^zyrRAh zdqIvOUC$td9(~bM%}?sZ8r-#*5&l*Hx!Zt<0i40i1v7u7y?Avdw90tL>FFF1@1R{? ze{Pc{Hy;LFr#ca2D}q;vpf3=Bz&&Wy0;qiGgG}sP#=122Y7>irm5IHLvEODeZPzc} zApZv=Kg<={@1S=VS~vXh-X)mb=cj;0mI4TM6I7Ytpb(9LS2^iNY>x{zSJQV9PE2fD z#@?;5pGhokw*;yW@$OHx^&bJb!U#kbvJO6y5_DxVL>F8faPtI7CYtJ5;Ne2$p^Qdn zSEZ6C0KTSXj0H^eY)1b&QiPRjm`DbNF~S61vKUAu*!(&(kiK$eTdNM!+|iq0T|Fe7 zsds?vY$utIB>q(EWrEu)0XS&|w^QLhp$0+c*Yd?)=0 zQTi7P{m7{+jLl^%Umj7ss;j3<8r9Vkg&EP+ffBr;zI8-bTOlM?S4(<`t~M;Pb#*)6 zpw3QR&89V5acZota+XL|!@$h~XCk9x2QiTki4gydMJYJ-a~F-jYc#fovCPzDgGLr> zpnN&CO_ACWbp2b9#OYo+@$^tUg#w`3m528j?P_OmL%SB^1`|;G<+ViGHBza>YS$U0 z@^-r9T{4#!HbK5%)(+IHR|JmwM6x?=e@rCmQm5~4g6-BLZpHIbR~Se{w$P4 z=|+E^;a5a|=GtGtQDh@KG0FhW#RUT~`qPcEqktyFKi=-5Kd&=F+Paqvh*N)B(;&WMM7;i>gm>#EZEfu8q$<0vabhsaw1QUa$-n^C zpZiK)d!PYMm~SM7DN~=2t|!PPV^5cd(6Y%fbi)FSgXKjf^Fqf5OW> z(vwz78r81X@ou#1MFIBLCRZodI@_q32#?jVooS+D*DykK>=Faw)Uju2ksf+-ypExb z3aeTTa3(TpbpsP^A1>v4w(#s!s~pCz(AZ-b%dBmGh3utpyaUJ3kymFo4MnQGTVtR;L!;lycL{UFfFRa(^opqjQA?=hNoxzLwh zvhh&Sw6P3np=oz3l~_%?i&TC*N%A|s(7^>n;>aa)6NlQ zq#etWU}rnl2O+UKR(P1`SbIi@jy1omAWj_{IS}GobYi@Y9iSbnbYd_H_uJ9Ha0@Vi z_0PX#4xI|Om9gVAb~$62!`ls-|FRvMBUt0K>mDNBdxDU?RsdAHCgMFtyV@IKXvZEn zShQqt_0NU0(-g02S64}++SOi|5$*c(B{J-6!FD1f zR=fI0P3`(VBSgDa7!ap+ecm79yWseE?LrIoo3>#0I};g&Drce|NCa-@ZYL*Bg}RTi z&BG-2HWM2i1>D5gFD16?Fu~1H09!DB&Pu1{b&4d7)2U;b`gz5DSd_A{`coNOtg%gB zkT2D^M!d&p+}kgzu%K~Ari#YBz>pU0*_(nSGgjjk^aGWiO66ezh_U(uj84+%X@&_Z zMj`h|Yh&!NplhV0i?(({>?CcG1p4@1qSmghB(n9f>2n~D=;L?x z7s!6WchX<_LWI8T#xT8woXzhqXq2l|bL#`Sjs6WQ8d{6r>IJ>LI5{2H3~aVO*@Y7J zXZPZa3NQGInJ*dvL%kx$zY&K%E^;p6)nN};(1X5-3&!+6&+Zkt8_RiPE4+beSdFykL@+}i|A=)pS}>g|5)&Z8Be%` zWt@zoS4>=6#@&oKpOwZF6ibmLc1Qd{fUy0`Tj3oYY;(O$w-@Q|K!?;YM`$TG9PeA} z5gN{m0bI(UEA1eGdXYir+Cf=N_%8;Hj0xgmm^fG7&_|}xZsQ~2MAl7fP+yTxTuu=^ zuxMHrD6+kaE@IJ7#lE*O{@-)_pXT_tL$Km;z#STX-V8jpY_uP9rDID=JWn}`NsiXc zg^q9oHm-!mj4B*Eo+|M)Vg}Ohv8>NNW8w3{8t$XZEdw(^C;kC%;l5;N>cEW=- z;Vq2&1aVk97bim#ei6ef5e`w*22v55jGbil4P?Ydaj2pgLePgDC`|Yv48O~XLN9}< zZ`jI&CIg2nl5ctfc&q_N23ko5OypWd4nrg|aHgHGn24V(CrTk_fCR1rynZ zkvAd|nHXYcf;aDAQp`FEap#$Y4r#xE4B4PO{2!o|1np;oCJlja1+wG^ATIh&%1gm- za^8UO7&{rSEkUw-ne6*P5n(_MVY;-65)D$As|d3;0+W?Ypf+nf^|=2AcOZ7YAMxH` zj~Do01B}XyCKNYaV>F9eCTD_YPx>j5FG9!Nn+8oS1t=+hYe0;262%S~mhu~d52*vWjN#tz{V zHFg6s*KjUAxHT)7yJ(Dm6V@lL2bvuJH#zWE=vFYn~qrJX50T@3fDk6ZK-5d-G}99GE%GB|dT*Y{nrH+kI{ z|EJht9`Y>gL<~VsIgS>B$7~_0e2MxK3P)?K6BA0C#1_YL$!t5?_9@V`a)17OH5^J&L(19Ao{s`VEz0T+`M)$kYEd~h zll9W+LKEAEv3^HvAa_2_6|*}a)RmA`y;pc-&qD;M;1|7@r#z6ACg=YwhA&<{vXlfv zBo($vn(3arTj0vTHR<_D`F*^Bb0qGafziwdv9bhP2na7s3qw2xf|q+3@!aTu0Ok}3 zrygPidva&ffS-u~dtGogik~skJ=U8p^|l{LA1A#b9eQ7$0Pd-lL)upR3Rc6tv=)Ry zo<)!oG<{L<4%8ps3q>T;gxN9v&&05Tj<2zPgWIOfEsh}?=~~T zdezAdjVNzpyPpUq>RE^V%EXMr!Nga6L^kyS_-*le5HTC?-6@>+3JhD5&=w& z(fC>$_CJP-kK1Ljx#4FPk@CPkq?E!6Dee7-g7##kU223?2G}x_{#B-bGn&2}>7@_i zwH@e#mN9)_OO0KZb@XQYxy+2itF{{bOAT^dGg{ne@wlSRU%Anao&3vx z??Nl~Krpj}IsfA*aiQI&J~3^K3+=gZq1{H0)@{CO+paee589~+5+Zb%H1z03iN)&CO7E*fK=0xxJzq7v z9zin3S#A)}h+m{SM;>CFBT+5N(3zA2(*dIABATYPGx{7mwK&aUXl?dVp){aF*zj~< zM_KVdvX3;RPm+Tvag?QdsjoWTQTCo5PDk0cQAgQN|HVl`*JeHft{OgMR`4uv3^flO z4B2ELF(ThYWB|>sYXM)3qwJ9_9c5t>n$v^R#YMKA!$r28xX2phHxT*uBh@>!9W&BS zU1ZyF$vFNrQi%uke(^l^C7M#8v5Q$8{-9Rv)Zx!i+usFXw>rV1@alTTB%nOV;qWKE zSfR)%mys08toXAcNyJ5Vn{Or5%yyB*SGxnd$Qrh;AzPnyH$qSs*(ge>0%N!NRshl3 zoI$&dhwQ+IQ3A$A^-#Pg^pHL0&%G?RJrCJs>eVv+ITaMAfFdHdBT_wNKj9laWY_b> z;UQ~MRWj8HlB(;=1Tp02;hjQ@ddS*tjk8y?$uW-pI^LvxMh~(QAVUMTl#jRu?4h~Z zfTh^|HSuu=ysWU=A%y!@@(0Z>*l(t2W~f5! zI0!{M0n7(H`}M+sbHR0ZFg|@&VWt6|rND0ycrbt!vL7MmAZxM{iM;-vor{)4p8ov+x!8$_NG@QLV*gaY zbsaH9eb$QZL#2=EkU;r&m3a0P!m$qK_(s*e^iI&dR}b6QjNmrzIr|X`o!H|z$o-#y zu&3`Q<6WokMen;*eWF-??g%VXfQ7n&i6t}P!A;v2!J=&VkYFasW=&GfB*UXgR0RhT zy37H+MWG)ibUOnrhZXTAd-(ktq+1p2MuOFMRXzl4DZwtV!9G{8(F9v+z{DsISt(fd zk%>dp=Pp&-6|g%2=SBgq^}27Vwt7NM>4u}LGLC&@19AVDv#>c^=UIk{Ft0e{qh2?A zd$04huf+-HD6zLzvFt;ZYwuoe3XNNj(5as{HzQ5ZvkY4b;ETMk183KE=lJ*K_;=;- zMg)uuWQqu9yUVgg%z3`cnxH_jTLo>+OsyDwVxKMh4=C~T0WCGH;SFL zOFYfsZXPf%XT`Dtd}G^b&E^|klC0^ZtGXDS`Q#4Ffa}whn!N$PiW=7hTwbsbjPF5y zRvv_5O{;^oh0zZR$-0j3o@x%ju%c<^kDq9d0&pM7b`;0vueL9*@~j@|S>}F#s>HMQ z(fu{}sr|;%>aBx>%b57%L!b?~Q%U1_roUNt_kEWNRaUt^56HxF{h*2RcirbiZzin& zOac07ivETc=;1};vr@>?JILuQ{55;AJ*ERSp%8B~x>OlFONeI(@IVr6I7aFLSomBY zMy7&8+X-)p&H=$**yez1FOnnWROqj0rndsgXW2I_;NuTOjBVO zez){p*hIAso2W)=-ktz&mK&7B>H^wWlZ>m3_E-sf@B^JE0-LvZEm|OY9!LrO8w z*+Ww8y^}$|^YH|n++++m-II?hhKVRy5XMcliCUTSArKl%F|Eu+6tcZII%?9W%guVV zZJAyR7GRnFlaDyd^q)8_csaezt>bVixwa_%`G(vlS>5qw<)B7TH)*`ubJ=PB-%7?d zqFVM<)F&lC{ZuhnWx~k%xzpm^1&dcq8k7OdSoB&tVb(;Sfw<2Z{UrdK-f(RhA;mbi zM>LVWu5qahBsyf8)3vj@W6}}(A4TG!Hgo_u7V(+j!x$V;d=K0BEC)WA#f>997X0+dZ1_;ILg;X=vyT`3v^Qdj zIQt0LE$hL_ET9KdbMg9=zLr`qbprQXvq5m|v4a?lth9C&?rXMu0<#hexV+YMv(>o> zfwyQvYsYNK{vbtI2HakKEw|2z;4TC1a)(L^?ni(d;II1}^1f5$)44SSaZhK;dp+f2 zf`4s=;2RN)WOr#YtjOBkjPwJY0%_L9j%eY(V5>CQ2ToVxlOH3L(|ds%Qdk5WFY0TB z^=}1%6c&Ngig-@HnV0nBrrwFh^x7SWTHi5A(A{>`MXXDsiv9v|5eFIJR-`U30}zH-pb#w z#Qe1Ze|}I^Np>TDcPSIr51@xueXQ^|bR7A++~zN?br=2?%tYc$llXNcW^3U+@Gg2? z2PtpS57IjWHxAUIvHEHQY?zfa_@pXGC)~S64Za+Iy&rBGt8@I{Ib&gg!1A;FD>V@1 zmn87<=Ag0j9{gDBk%MPD)N`&D`YlPw@mI)?_0C4Hv8s5hFj2hKJ!TbWe^8gqyjk&9 zD8NUBEl~_1iZY^@P82*euUx@kCz%x)|7D5$2$-R@m?#K#9flU0OJR#)`eS9yItHlH zJ17qn^gkhi#uSv7$2lGyr!0%edRh?}GjlKbE?5NNV>k_SCuZK8WUYHwxIJHSh_(kj z?HTFWHOtj-DOv-mkZ~h-8Nqf^+h@@C5EA-NaE>O$jTCs?jzD{~Nt-GSnu)0cOdo{U z-%`0P>zo?4#9WHS>be>|qS0pmC(_`Q_Mc%dV!(J=9Tz|Y?Q0=)&e3!UhH zRP>J#PbWcNNAxDRkioxTyoh8+YBH{BqoB|TC$B|8cvpN0^bJ4{--ofg0%JiuE*3{W z5Fn7AC@GRjWP5M=M|oE!gup>WU_US{QO2b0MI;*ZTr4k&dtyGy2VSQgI7Dr3;21{^ zv1vFSZwKTLgXjbEhseL-4-g0D50Os(KEWV5%HNYvYUK|bp%2Vo>R<7PNa1g6!tAYs z!xA7)z^UXsn*;Y-CVve7CG2m){*zh=7m-Qc^flAkiNvsP7=q%yTl}Kl#~#u5;WzfI zpwr5pg4UuGYCjhvowx=kOQkv6SEMrvN~jDz!fgippf5>tU&n2g?i*U+B|9f1E7otMmFl)An( zbYPUBeWcQUmiP~i&>n{sbn=juJYwZEY)S7r2fXD3dzA9w-;m?qDn8X%-9=@RDY56C z0N)0u-jtJh4sli|+xf|AUE+DAu;3NkrUm3B>{inf^B%q_G2>qKrPB_%pECZA@7?tZ^-6}X8JMtH$oW>rQ5are9MunL?DDXzot<6b95!GP(G zHtAU9;VSa5ISD=b?d%=ni~{uPqol(TL-3p1Tc+>B^p7!p9c~a2i(t8e!Gbm3Q2xsn zlam%Rmk~qoB}^;PBG^8b7QsU61MrKijV*-)R1oSu=SRbTeo7#BA+lYn2d>800l$0& zJAlVC&@Cx?$QAoHbcbeNZZBspPF5*g`U`Tgq4{^T%=_7NnqUuu-$5{%&W3!+JiPg1 z25hhy(Vgu7I@=m8=cYFC6M223k$ zY-IpuwyN<8jt&?XBt2I-1?>nCKEl|FI8s8v9M)K6Vt4VC~4U zV72C_){zCPckr$YR*DSuD&lr@lhQkd2p0k&w7DE^M=3NUf7p#eG%iOsE1a8fMFtM8 zV17BLG$6nJ;_(oHuadtQAo2vPP%+nW*=eM&HlN-uJQD)RIj>-c3-iq&X`V6Ig%jMAR2+@zDb(&QieexRnNB8 zB;i&R*gD5C$$XOpo~7p0BR#0Lcr*mztK=`r_hT%F>G^)9e8u~5j^g=)`jpcI$_zcKNf+K|mchNm0 zA+_2jDP8SxRlVImGW!wexe7g$(9gv{$IGpp>r)%yxD}!7DT5r#Nb_-%|L>W9!Gbtb zpPu;v>7I;97nzMhda*g5)ATPf{gIk}uAP1o(%W#)E8Hx??b$1;FjwlM7nu(f^VeU@ z^LBle{6%^70pXCIiLCWe=)ItLP9dI!1`p_&`eehhK)ta*fWhFeTa}Yor)IT1wfC& zV?RT&zpl_z3H|l2Qq+tRiHzWjoHFJw&cM5`lE08hDiXyUZ*60HL$UQCw#TB_qH-|+ z$ppAP79cQh7->XQnOh}%1%+htO-1{D7^$)(6)gwN&pnM&wgR_U;hra4S`;o`4SS=1 z(PPs_O1|7hr=up?2N4ei7f~TgPw%VbgPbf=3ZqD2$uA-y0Ij=GTr2SyzVs=LVJNn1lC~r&-^Dr#*Wl2aJL^$y2qO zkJ8G)O{6(|ChW#1!9VQA`Ftd_8*dMaQDNFhn@vHo8EbSpITvF}UQq{&WAV=gnp^1LPO z^3GuLgca2|gB`rBwC>y^(v@93G4f5?9Am0GtU2Aw^Ow@SZpz1rBQlmcr*^#l$`Pp1aU)7^P)040Ds<1a z773{oILNy+v>uXX^D$|d}^CBMbzfkhxFMv>q_|4x&&kL!#7Gp%5pai zX~ahh`q+fJCmv}RnEdZ#{(q3V*MufN%l0R_txJ^wxt1VX0TT88%K|1#W-PFNfvuw4 zP=YB(T-Z7qo30{m24+*!HA?Cz;`x`7vN#WEyg;cA6PYIukMK*%&knfWz1rLv`UYNX zj&D{po4*2@9H0rf9$_p@B=NAoT{>9-*K7poDhaUOzX|e*JiZ)Ivk5TvxH^zr?z3IjoG~JQ?5plt0muy9P9LUJr6dqo83A<0Y7Ln zFSF?_Qe1($3&3${*f@q*kEQO;qhvrUA@6l4DqFuV!MhpqDuEOF z{6WuRtjrcc^?5%}iD!HG;x(S_so@Lo>w@BYwzmnNiQm@YEc~{G;(NAt4xfbIcHv_s zgws!r5E{&g_rPId#Xk;CH|VOjqbw$Iq1t3|_4{a;5Y`6sX?EBZy-cRq=B`#GZp?G7#75h<3{`!T9Nm*)#*gLbTItzDz4d_*PG}f_rb?s~Yqj_vM^6xN1+Fk{#XWejL8@tFy6vx8^pz~o22Nsv zHib*t#~g)>o$W4KEWL@{yTypU7e(I>=Fb5||MnJ$>vq9#0MY-rJT^H*?*V)Q(ceg1 zgAo^SExO!f>m|I{8F{}ZM!4V&5*6Y0#0b5ma9=2;aNR{$GQ*~TPU&Gv6V5R}oH^$U z$a%ko(!3z=)Qk(J7i5gcJ2Yd&^n6s9P8q|d-;B1RO-5S&HSQ%r&R2NN(aJ!lq@My8 z;eSTU56+;(dmQo32VT4Ucs7ivh)Z&T)zvRhEGOHcLogK}-QkN+FSH78^O3MS>;@O7 zh6gwwonRc1wm!c5GuBuy_I`5nmZe_n)HNIvKwf>HgV&~S!7DqU6VMPeQ9mx_T~c@s z1EY3WQ+J4OSUw$21SS=Ofp91L>smA&?j>XQNOKPel@Mm`i8(pH<8n9k>ERE+yYX`N z&TcG|Vw9OQc+?kP4Iw+*5w#i%BX-(nmx3#&8M&4L(RDGzMS%`=$#ZKO8hGQmR_T!!F?xL(%8 z@#0D`;<^Y0h)Qj2HrwNO@iv$s!LGw0d@~@6EXo$}j`^;a2v*l(?6XtLc-%xRHFg8P zQfrorR{hQ)2kz_v5H;?+HE<)v5@2)}n`7rKdiC5Ub?gua-Tzm7dY!9lQ=E+iSJBk1 zq}uuq?%?%%?x&T4oO%`oJom$I4m(H07{CmJccu96m9_}dY2Rp50)S#}If+YH78ev* z|4j%_uN27pxgF3g|Ee+mZP<*<0s%1ABEfaJEcxmgFZFb1q}v}Cg5eX5@z1B$BxnWP zj24M)jKe)ltQIRz@3hvHLq8u{zyij?fmGysRWr*sLj`+)wvd~Pg>b*;5nsV0Ni%Q5 z7FhCuD%9~}M+-1V6aJG4cYY}e(>*2dp*Im1G2(rRD50}A&S{>YZ2;U5=-kCwubkbe zH#nXcvp6F?kL0!WfmV2);SR^7kxdBYHK2) zu4mGqYia_Dn;1Vv<1f~D|*d;N?~?{0_Oc4L~6~Y$g>MpLlbA^P4wYzXnPF) zoT9J9LXvd?@tEVfO!x~7KLX*ry0{dk83yJ#ts-Bwa+BtW6?xC|5@ehogVTeoWI5yQ zB}IHS5p4PVcL;A8+=YA2?19GQ@fF{7PpuA4-^YNFejDEyh^8i_c7@0Nkg5 zO9(h50>~*iLiQjtJOj$k?w<-ihv56S*xAiWX(6MpmPd2ltcfmQqL4`>zFlPwMv~}r z5X>A(pyzC$tTtfcE;1o|@r*=TD`+c%PLDE?+JcEm4kp@Yq7ObqqBBe)nNST`4>{Oy zDcFky>mC8qMbhiZh&eS;G^U*b-$~#f>LSu;$;c;FQE8-TqR~vW)Fc8Ux~7^OZ4JpUyq|D{GOd5&o(p^)RG$3q$4!Nl}z#JW^D>k#f=w+ ziOptgg(EhQyG_ps3t4;N;{X<5VsH`}XD7=}Hkq{9HeaGR*g%xbF~e$8H|&UVDwi!dg>@qNT!iTI4(c^xwP=G{2Ap;|eXC5*vJV-2B35{p^d7^2~? zGQ6+B9vIgPf;Z=vt$|k~t#3=@ud4^-O+{bM$>2+DWXCG9D~asWPohMQ)9^72uVOf6 z9b$-FDMaFt^OOn;`DWp#NthmM9{TW;!^^W#*1yP7_Ub+V#owZnlxCFAtYGf zMCeh3Kdc49$%^p4{|ezaI4rPvA_&nHL zdhRZ|fGxekdCkRdQE#`gitrpFtllUHWhN_9Ukt!t0z4ZFU@N%+X-AM50Kp1((S_*d z@tpG*=D?x!oiqBniv~dG4fk?VJWBt3rT=~n(q_e?NA^q6D3qb1B`R7Mp$asG{fo_<^e1e06c+omXqnl-?aDR{WKnTG<>aYO`DOTqk#!)zVoZ_#8A zF8$pgQ6+sq4km4hDhmx2@JbON3Ch1E?L23?F zmPJjF8nBrq^fzT!?NxN-^zM|Gr7>9!-?8A8`? zl+<8d2v-w*yXvhNnP4X~b^F8BNSGayP(~0Y`ZY%PL$q;hey?Nv2qK7V*ki8Q%u+HB z(vLKGSHR)`yL_-h;zSZsy_je}_)v5~AdI8i9hhx!K;Ng(g9-g?6q=Su$l9|w1~#Cu zf35=Tv{+cV068GP{^FgGu&fHtuJ zfqA^P)?v_utTIfT1cc5=VZdE9AE$=-lyZ1ZR9vYlE4NWJ+vsIVp%FPJ}9#n zl)qnAfQcKF34t&&>x#)OuxvxWsL&xoXT(6q%k2L3F$rJNgby%b>u5ss-C+IVH)3GR z74|B^Zhl|nLi&W2YnB7@>o49Uy2)SY<~=ypD^$D~A4WHAmS0wEhZ37ViVeDH#uEnM z=V|~^<{E5N1z9>FA*1A4JSpGoL2%QbpILafSp023L ztz|!5$oivFa==*T_E)9(+B-nmPf;?;9(J1Oxr}xpI%8;F`;24rMl@Un-l04;n%@-7 z6vBPJS_Gl=IZ*;XFNm#Sf+n$t+50rfa3)!xNf>2QHqnPMI)Lbmqw+4#=;s}Q9DNaAh!cKJ6nck0>c^rqC$8xwvlsRXoENt&g zD`L~&sm9z(&8TIxEJjuG7n_x9L8ThadJUXivD%Mgi?G3dM~=V2dU`p<2LE9mSzr>& zJS_bcVES5E4)4@|AkgHwtPJwzTRqNk1_wNPoP4OS6cp66%i2WmUEJk%vd&cnb9b3j zBaTCD@XPra*)8G@kvN_Ox7ozu#`>f1SYG`-Y=xsDxjB z$$on_@-{E>7QmYi_detus-6sY_ki^VwCEM2xGa%ctIt-5b=VF_j4gA>dpTvJ}$C`D0gEoixdHxwFz21>)t~399zxB zQQ3oUf>$v3WCWwAiZz+pgCD>uwY}cwI9t^VH7>Ps{%ArOE)IAWW8s&psP2-NAXal+ zJa9d9f@7xhDrF&;wAL*bTDNLEC#2~5fjexZEisTo2wA3(XSINYw>_X`m-e}6bkHQM zdiy|4LjQ}o5x;z-r-IYQ<;e|KKv4s(QAmffUxk{iWq%5m`1NgQpkjT~)#{$?BRC-a%Cnz304oAl#(C1} zG!@dY#mM>#Ra~v+4LGbNaj()yoz5!%t9Yx#+e9L!Y0Ti*bMaZ$o1cr}C5m2f>4COEY&YG~r;Grk+* z?Yrwt`27q|mULaqC0z#*$WL&Q$rAG71K4S|-1iBdd`{%El&7!2`<6wst$L@`7z z=i%C0RxdSEGf@NV)fTh2FfHJ6FOh0A16r?@XV<^&w{IeE+ahlt;|H~L}I@W`KDN6&%($nu!_H}*_eBU-{F0r(?X=b+1vx)U!dI6 zpGJ4AO&Ug5V^0P1;0?I4uLs|7XenZ~vnIem@&+CI+kPOlGoT}u5v+4}H#J-BXE!&) z^UH-bN(Al2mILeeo%lJrNpaAM8v|!*>!2H2p=Z4ftqDT5qU}M^R*X^xf}Kx7EBFq( zNVp_JPTkYN58y@(7gGd|f|>V_v)SqR#(p8pnKOF)Q+v%8)R->v^QT+vtV!{PLLkv> zrK89p=^s$VA?a1G9Z1sOKhxa2#&rZ*mn14~iV=9k2i=^+>R#g7iyGkD1$T+OfdSVO zzzfFqL5+}&ej`*J5b|%(UE^8@*yQlhh-xoUsmRQ0tU-h1*jUF#s)tb00NZhj!Qd(Z zSjbZkDI{5E`>CK7Z4M~tPpW5gUWK0Rub_fjI0@f2X1GMT)BV7!NayqHq>>&>f_BUD zvnD>9iI*cW;t$gJK<>_{lFG{aE{;m}Xo7A`@BkBFIT|(e7+-xMM1UpHokOtvjvE>v z!2d(uyMRYoU3=q`KqMeKDS~3f8n1*DNXo;pOmE#p#<>0jx6T}NRFqx9K z!|1d%miE||RiRo4>$WqoChXomNi@61Ub zslrO!aTrZ|aaB_RoF_xsKXf0-Kn(($Bj_<)_nR1_X2;!)Xno0f&migu{ga!wD zh}-rJ`UVL6oaE(?%(y#{gRn2UP6=$LYL#GvD{dkpFC*e2?oDTdO%F2#lU{ zsNb5Pxiohfoh;8at=ZLcO?+($dy%{UWYa9r1(P<8J;P}m|AwbMuUZFdY{xt^5f6lI z`ihfzUTN7Gc*SWQUsYO{>M*Y=#TC!fuwrf{@IW|dfa~B?I2n6~c*Y6qt|(~g!vJ8b zIQF)(XPmgnq~+|PuSG?(mm^9_;iEpLKs>d>XPgpR;Q1`@s}Fhw>SI1AGxUzrA;5!% zm7fGDi@A{z^073z*_jvfXVAOEFFO^t`0{}wefVd8{J8o`Q4CfA%Sf^&140G|s#Bn8 z_yJ51=p2hlo`NcMk;j~W5wn^@E<-;H)dO<_KZxY(_^WG3KC$vF6p1Z=7V3(hfL+d( zd?j>`RNCa4m=Eg(l@BD?% z;63uXXQQ{zu;SC7A%CjzAk<$gZj#rNs;74?`_N+s`8SFDnFrtsODF{uR#$_1Ctagl z93S8!2?!H7mpHxx99CQ8H7KZOwY~;riaLs5UkHNv@cJ4Qv!K{X_U?2L5K#iE4FO&b zrBv$^cnxYD-hc96gF>j9eV4uwo7#dWiuC*>ZdUg=@QwRG)>A(=9MIRGK!x!vm8Mj` zF4YM{KTk}qZ_($V;H0CEG0oi|bN$354E6siSDNyWgFE|H7$1*MpH)E~$&x;gl0<~K z;}qT!eE$i51CKu$;0S@I3UHt>az~zh@{ymV$b0Sue3c@{E#XtwAV1rB_t!y*Q}rdD zI?PmE&L0f$(sD;J8YOap%Ur5UWUF4*kgnotq&XZ|vh?-%$sB)KHTaxTa5^bSZ7|iV zlS-QR4xp!{?;WIN9X>BRBgh}&s|QeQb}VEEmPteJ9h{?Oyn)le?m;L+-a7!=()SLs zeQcI_*>@4N*tEoct2}vN=)8+KUiuN~tZy^#9RMWo*a2Qzo)3=TE-(i()ogq{ShSEV z%ILjtnN|svFy(AA1?xok+4LxYs4Id5HEXA+rsxyA3))) zc!K>@>5R#qrK&@1P~2twDIw_L!_>LbKM|FkIr0FGe~y&#PsrIaQ*{)EMl{e|*2_-4 zS`g-CVD5e)C+gfyh58eh^f{c9mn9SKA>1?Jh?U-Yje9_f1H}=j_9;Y=8P|Itv5w;I zadzsb1?jVjbZ;PS16Owx((H#bRWI<|9+mT3D(v6g4ME)`#Z^5lXZorhP>{wO%)yFc zjBAa!-ep`rfD;vvcGb;<(b?g}N^37@>%}cGpsg36Y4YExDUM3Tdn$0WpknzW0?>3g za!(X`$3&8XMOr_4Y4CnW4=0d=CV??czW{wL6Ua}X?tEk)RRl*G`rVBz%)B#^|-tN%3TKQhhEuY3|zSqzg7gCtcbRope=CbW&4abkef^ zz}QQ=_hUNr5-RM!V?TzI!#b8J2jgVFJCQZJCvpv2A}cpcp_z_nrBx8u;xe2aesD+%IxRi zaHrtYyGuKuVpOlZd(u~EWJ2n8UN%rbEp*a#*Js$DiMc_$+Edzn582` zhtX^>Y1E_MY(lt2LCOR+gM!o2#;E{CEd-eNk;^xLzwL+>w80B)Q1jZ^smz&7S^8ga7mgCwH{A8<5+hmQ@1}kh+r`KMZl(!HT+JHjW@e*FoR})W+a6_{17`EU!Z` zy>v1Uw6i$2Sl~I%8A1`RL2#+YlPOyhYg*2(>Q8iD)l;3iYAcN7*2s0Qp$agcU`Od| zcgI1c+So=>QLeyv8$9O)NtV(}O2%x*MLuL40`=YK&dm}A$m_h+t;S*k4tP~zv7sX| z5{n;L%t$SJeZ&%w2NPRDz;B@~9!DSxy_$vkgVzCAaIq?pin|rei*3#3j-?cki+R%k z7tYb0U=gFH^U`-l7zmV_>bq$qUce(5PJTCzNTjZED~c~+#`TWjw7q{H#q1P+IrxxN z{o^F`I!(``Cy^zfeoi)u!&7+wp!2G&BPhwh6DAcrY91D0V2n{vq6U=THv%t_OnB};ga@|T5+SDHwEA&alACT*B zazNg1iZkalN|iVp$IA(E*DT8c9*r#T{_x9O#&|tQKi1O7wbu_%LLTVQpBLTfytFS& z$4D@NIH$+mpn8Ej;pX*Ti>KXz6C}GwUCr)LM+yH~r zR!(mpxXFVsz2Fi|L%fabyeLg}QXP7X^7P;tC9?CWAUk_1AlF{<6DQ($s0_^&a;4$w z14Da+p*aYOC~&pIRjHmuJ->6gz1?%AsMCM7wT*c zERGe?2*8%caycR77 z?e;{`FQ)of^ccqMXxi70+0$rF^3oS?LTs2PnW?9sfhhWB% z57CEm6Hvd@lNBxUfnMB0N7hJ3?ckv>r@rH4obpB<;A^PkZzh=oXPges8ff;^dV>0R zPlinHXDf~O4=;X%&!5rY_8TVjd#2k(V?wG}c$brure6i&v~AJDqn=vaZ>sTQR6~N3 zaTyMDq#F1Ezti*EQY2T`g?iv=s>%QUx zpHS~Jna`Okcv_M~FAIu@e(Y4im3L3TwM2nvX}quphYlV+Iv5T6qJ@?H=!PBLiWkSAy}$@Pd~Wetgf_cJDI zCU$;lQk|DHuQX|#O-imA1m|{~ztK`ajy&(AH6;h88fpxahEdi+P%OrUmvc>EJYoMR zGAFe$3EzdVQj&?y>+Gw9S;k*;{iDK&G{~fg6+pGFOPPVe zbu1Q0CdG{tH2-|5KQkqas(#halwc-H#)_>mwT|88)-f+99i)it)b+P<^=;-l{J3Y6 z6^Q-6%)%N)qH$ln9c{fO2Y0buyI@)|cvY~Y`Qf7V8 zX1?WQVjdCA&rUs_6ad3R*KxP3=|+=`JsqrO!XDfxh-u{$opeHCb({rGV@qYth84e+P>J{Z~E zBA-Bvkx1U%0KZv``>?3Xl^u+yr+)obmDYFOBwMhG{9ed z!`UMtDm(RWUsuAaJi=x}8mLB9Eec@K6a17KO_^v?D*cr4nxbP19ChDnt2w(?Q>K|b z&-f`5B_)$&*Y425_9rq)N{s8|7$oLy#W%k)Nq)g_ftu}0DGP;mWVnf3=qIRiyc$x` zz-gQj89#y-3p6e_skIDz$pq>cz(|P2$0jmx4g$jUH-x*kum-|JA8NQH^<-rW{gzbN zcVaZ7qk!zp4PS#jXkM~?2X2~J-l2kCxdBuZ=!d0c2vc)_(V);SmP#vvI$ooR5M$oW zHyOZeP6Wb2Qynmt(h=QXB%cwL&52Mnb-YQv#ZMK%XlkuV{gR(5!qL=;CiN_vnu(!B z;5A1}2fl~#+h{3D7dqc+R64zO6hk|Lt9R)7V*q!fA?dCI&Wuqf(2r$e=rIuAhd>_- z`#75cen-hK1*1T^Hj`;c;EqWG_s?p>_D+PLw*V9lZ-g}CRc@@iy-K#m<=wl5N_IUf z*Q!7`(UDgCWKD~F7!2OH?jmIb;9{4g`YN$cu$O`)TY#acOT3w6QqTc2c3#!@;|P-x zBNI(Dl!@R*dWrrB@?D&1A!@RNI;TV{ct1U~Uyd|=EC_%VgDp$Y@-R;fLBI4CJoWHm z_n@dAL!u^?4N&etl9cj^U|uQZU@f7>Tptbl<C6VIW*sB(9XKz;3*x`mv~#Cy7y`QChE`)K{63^2~5bu<~iy2(D!8I?{n_R zK&aEL3we{ZSk{U|0kx_=H&B4~a(P@zcAyGF?5R$E?9F9Qyi zkEhrznXy==l}D;OU#r9p5&r5LK^v&W4H_NQyd^Z+{4L3X{q|V3litdqf=R{@gu7#0 zstGa)mDR)ZTyW66Hq9_R6QM{}y`xUe!P^In!rkhrfzzU^Xu3d-9WH094qEM> zyx#}kAZZ7dn`^^ z(-pXFU&3ImRnsJ1EBQ2#no4GbhU{3jCT3KC`+NY83p>b#HcaXURq*Y9unJDq)h6L| zDX6NQY~Er?PMgJ0>JHYyCsMAKnhxR%C!oNt^b07vVt2V;qW*t|Pqve;77kLNJ~i6# zWIeGXD6M?@9l+7f6i>*s@*8!6eArNMqxjgexMP||rX){AaikI(;kLBp!*oeo{9v-3 z^@v29d1GZ)`qvEjQ1B#7^$j=z8;I>20e`^gst3^iL+$Suj^F+^<6GJv&dZTp-UY_W z8JaP%Xpz!vD%z%>iq#m?UeS6<)5xAM^so|WG-z0R-oZC0xEeH5G?3%&TyzCPf>)Jc zAD-%~84`StGVrQYU+s|KxGFGI)6Tv{y_P=E?y@sl!-w z_tEsx(gPA`x^(7?*{ibiMvnRTDW2kmG5)`d^$?5#oRPom_0w1AVEqF54L`UbcuclV zbl#LgBD+z1K-62!AHhG3{Eqm4q{P1k)?8k5GB3d8Gp!uBB{CaHTOyn0&<<|de`<0cODOypuFYigq`k=n2(vmTe4 zxF{U|y_)b_9cBEQOhlPdlPkp1m(;{XO;`hOBJ(qOh5Neqyh-qd5vEXBs;2vFzGOgk|Wkff9OEg9Ps4#3=P{AmYA9jjJ z`oK;6_e8>PCw-U*-V9z_84{6jZ!n`*2>Hs@H@I?5p%L%}BLo_;Y*cCoRj7+zhENvC zSaW9z)!!l1_kw!-_o(N$y-3GWUu&uV@L<$qMI@|Hjjx927Td6!3U$Xzp#4E|ey5G$ zSf#xOwBx_fez5sAw+*T7MYA4nXfIw|#&(QT!h}EC_fHf429A!UM6T>qsHK=vPS>lN zR_==heq*JKd6Mztr>H+x!Sn9Vz917Rsy!HhiPXzzQu-xp$M^*FQY2IPzM;?z5(c%0 zP0G7{jCObho0$i#K_WMjHSFXV_T85Q)3H+^TLXpluGsEO$EuLUENnL(scX8pUXja< zo`GEWN`R$#Sq(Pidege={uA&fG+i948>cfyCV2xiiFywWT0%zxU8NdiYk?O8WmcTW zqCH2y(?V${`o&BZ-g+IcNA3Q>3?2=XgUxFk?v&us8Y+%RNyRFLRDg9fj=BTT_~F4B z^~v9}5D^;KU)L3ZLQ5zw^L=pImkzqLyu0mUk@Ol+#sf1sVT;KR0MW2VdxJ=4)PY-4AiONG?+So&U%q4Ot1)8cFW z0mw9?)MtwJ=G~8}7H`0?2~^=wyB!+DH_U3YJA4~iww$y`wMW+`W2wFN1712=eRZ=8muUbZ0wxJO9G zMD}YTdl?C!QuF*mXjBpNwTnb>&@n?#Zf#Hx>rA|;3E}U=c)){AD(qZ)GG>r!9S|(h z!$w_yq?!c*<(Wa0xg=vPF-RAdzwiP}ZEc_um8Lx`X+AgcVkJdq!IZ{qgCFBjfs%xP z=g^&c@QCT!Ow!zMkTn*K0H%Y8fd&=VbdNn>R#c0GP$WAIi#!y`9+rnq2JEI9ay4pt ze9euYL>zP3CBY|$drN|$=<1Sae@nt-Ps)rDb4pU7dsR`42$ zkz#{SYQp=(pdd?#&Q~iPzA=S0+HMRMF|@-nArrC*%UYe|K5!Vu2a;mrWl%)W#ow`L z!JETW{YbypcYpAT#|DU_hNj@K3#7>@-!$s&bIIRy0y!-{1Dro!dnQTSS?9DwM3inI z3S2vdAL!ReOo4$=@M1Kq=;a4=+y~U8r&)f}^CUH^G_(qy>_(G?X?~A23>CnodZ<3G zq{H7xQw<6c(CJdvvc1a+jR|%!FvGR7^OYJep=&c`=I(KxaHp23*@p2RJCIeZ%&^#yR~r$;7I}mkO!@3rk`wROXeN;u z0M42R97g7i*lV}UQOc#_Ny=D}XOi7OOtWw)wF75WL9LW!$&gYnBvLGt_*Ie4v`eKl z|33tuq+;?5z=a_|uR%t9ZfU`TNM+CGxuMceO~SvzGx+1Ngqo#1OST~%bLJYXA$o3G zy`(Y(fmT+Xm@Jjjp`_-vD)QZ51*9h^B?HzTg7ljNuW%>V5hO~J!TH~OE>L_3#>tV~ zl+?J=q9^X_qef#~9XL#Fgqu%qI=u~^DaWvl;;yZ zh_`qXO<#n8-sVUWw(A6?bZw{rR-pn3_!$L~u+vhOJO;_w{a}1{>bDomVP0Wn@@5DKohg3nnIy=l;0xDS7^~J!D0kAr%P;f^%r+YNt!9|ww#3oy;oV-6097I z15&<(6vyu#V$*ikvrbT{=0a$uF?-gamLz4tQ?FKlk_cf_?TnWpq%mPus}x=g^Z)o- z>NO8IVemy%KhsP&c14ztXyc5+oK?o=4C;v$ezu=z^LnIYq*&DMBy=6vkwxLyN+Kn~ zjc=bVtz`|PTZO<(mH`wb)lm9J3;orSSAe(-VkiOgh34~cv6mN#{X9r2EG2osFY244q5iSZN zDB{s=xR{5M9*!R{9Ws4uH|if0i_rGSnE~1w8c^<1);v3P=GEAxv!|*b)EY<W zDd`p;jd5Qt0k}E{FxVimJ^pdJzqmn%rpdT^ z&ZMF_={CP@CNiS45~CzPT+fb@d1ZN4fyM80(ZyGE#fFm<0nbkTJa&y(h1;mkPP&76 zQtkYKxl9H76i?R>>35^w`$a%jf@uy42c~W%P!NLl50J_Itn!I*-gsh6n!BTi5bGn=;~{B2m;NJcN;&WV}kKSn0N0N{%n-WD>V;?1U2w;$!(c) z7jlDmDIgQd#achYE|hAqMRN%ld=zxFr#M7N39XdH+Ci+A7lPo2ff&1*t}V=H`ZjKW(f$sQpSU;EOgK+pzl<4_+*YX6H)ATykT3j%ANkz2z>mVE# zA5=zHIru_iziF;Ve432InlWxtXk-;(R~AV5aEUpY040)ULCg96Q7!yiln2<|O# zY$rM&yGyZ15UAu7m7*i2x1R7XY1E6wyPc*da4fnFhgdh1>4mte_v$f@lYy)an){|1 z8a%NXMA2cLmpJ3hHar8mSj(mjiERCwnYm9OruS5*-|Ori#j{vBM20l=B}l;IV~poc zaGblx9I7P-kDra#p`@Nj<6^}2ur3YKsOcgiTpuW6WFmJ&oH$Bv9iji*UQMLT?TXZf zZ;{|pT0CBf6T>)03kcj)?o;|9KHvpHS;~jwuvZ?17^CQcb7$@*r@rlE+++>FZV+i5 z`j3`Iv#bO1Ka8JnYcmI!#xdw0neQO>=OHVcq{p2@YG&aB$3r%AdyvmfWZT5t)<2I> zKL$bWN7n*{Jx(m6E4eahK=dl!TaeQq{v`))COIC3%AR=Kb#e?4u}0J1hyD=3FtriY z#u04DbR3KI#P?}DVTcTrcc0!O)mI!&2SiZ*|o%EJ~I zZT=`e&6O{>ykI+wC188ViW|{da7Igm@&3XSBoZW}3E(m8lzVY%VE^cyeobQVVnW=!WWyOZTe>sekYJV3*ol|;bRc~Qy_dW!Z?BA z;rkH6D2El3@+xw$-I1n!c}yRxvr-4{yd8-=*dW9WEu)(DNX2on%qVc1(bZxQIVvaat-Kx%-4XBLaOi^XOk7tRZqOfEihhhg+(l;DOtty-@}@wWvHD4+V)15)tOM6}3OQaF zuCx^+CCYiO^Hp4xp_{a?-DHahQ$e6TJfO`MC{P4kbK#f=uZpVHXE?0{n>!ylA7t1o z->$v@N8!E+zHjDq%Do9+)@*ST+1CZb2kcX$x$!KMlj}haTW12R(ip(;Au724Der}w zbw4wL9x|6)Y9R~kgXA)oW8oCCGq>NV-#P~-|76;j`7>tA&qxrl;BRfdn(P)xt)J#U4GsPGCYJV;A`JdR<#$^$6jQj#0 z^)#G9O?3>TlOuJoA5C{!YT5I{8pq)8a6A^X?D?^cd*JVZO*ODYG(q|JQ(R6djy0AE ziQ}5^_Q_L-#Jz@3K>*U8S)jD|KemyExuEULX`zA$mw}UByn6}qcT0X=970Zbx!3PJ z_xU=E=yw{<7j)()Sq)O*M4J8$uqsA#K$*zpbTf|VMN{0WlzfsQo8u{DhMgP{lxOTC zyk%7YV{X7JCrNwl!vqrgkzlzq=y6(9Th6?~bILngYq^OIP`DWp;engZ>^gbyLat;r zqbsl<+V#;CZ)F)FvamPTDpP9=lCxlhi!zWxku@;0kPuYrM9((I(3Vj~_WJp>9uzx| z?%)J1U-J`O*x=6MRwX-M)b(CWpTYorWdO5x1#mC`qyke+XI|7p*m$~u8oZQb{MQT| zT1StFDo&5TzW9|QZr~KHsa3Kc(OJ5ooa*X6xj`wNH~-FkR{9ojX84D_}?7qg9V!X|x5(s@qE^fPSizSe zj+zFDK=XeX5Mn%dGwR$98nSU?=E0Cr=5kwVw-~n%&Y^LuN9OCQbt~vpTpb}Op=#Nw zuOPjRLH9+)h}>ir=>g@B;}cZp-B(XUc@-d>`sUIMXz3-eFfMs_V>zrvs3(&&KjL() z+Z*Yx2OT-QhA_=8r8Gm}CGOPa^oW_4r%SSdG7x zjr$cp$pPq6n8}`D%a5}+%pU1Q?zQ|d8+m{iIc)hD8#yvp9FZ#yl#(F0V27XQc7 zL=QqTAN4uPoMXD{s{^8bf36kvRxeYD_(as(s0d+E4&B}GBpp3b=!3SJDsK9uXeF6`qigR&o{_*_lsKv>4RE_D0R>AR;B?}Su!?RmNSF*0-p^@TqZ}y~>t9L9 zQuMI?hI;lGO&sps^@KOv`!ulF;oe(kVYqh>^679dhp$Zi%TfkiSEZVxQOy@8%!kB|R-!j6_d@Tp$mRx>ot_Du2UHBV1l_sK^3rUs5DGZX0eY^~fOJ!tw z0zZ9;icb8XE0gCNZU$v`Ae!153z$`>p1HI!t0HiWMFseZ6#$13&qr5Xzk3QW9vxlk zDm=L(v`_(_A6fz6aFjm8mg5Whb&Sd=Xbz79f?jO}=!r5Q=pG0fS*n<2m$KgXxS?hJ z$QDo5a|5#e%$bn&W5}nnUMCnp-B5zgkg?^mzMO9#L(BeAS^Ltf<%}vgl`xlsLTsG1 z|BtehPcz|&yX?A|agv=V$-(z%+B9fdereEDGMN6n`?-yrBfkSYqJN4A-Z@R^F5`V( z$Bi`wXJw03(tI+BPyQ1$*2^E4x&~bQ6@Skr;^&AhQp6e~BE?ShLrqd!{1fta|0J9~ z%sWA^>1M9Z3zMeD`xkE@KAon%535vM#<01XkKHfIlB-uRLcL~;!kYcbTFmUu&=@mK ze8q0ODB0&>EGO7vOHDs1Ug<<>_O6A}iN>OT=6;T~-od*(n(0O_lUfGv_6)i2P$-Mp zyiw#Gq9~avCds;r5|t=lj=l$AkB!G4y*B6VW1#f9me?Pp*=ay$>&^C^=Tfi2m9C*C z_1XuV$Jc-mTt?FkG+F};;2B^vnAyQk42*FRwjbttlOq|S>i85VxZ+0?L?SqD3IvJ8 zuTGt(;X~cr*!6Kv(B2E(-1rTw&dJS<{0QFM=%I7w(l+;z2iomyM6oNDz08%^o0cF6 zUC0{A{BlLlOvWzXm$Bk>=`D-ig%N$g+-6(WB8y~BGBc-PG`*;{nBILpA)RpmwG0Ds zSP8&IUd~g3|CA8^O!9U?Uk`lGpm9z)8hd-R@UV--0M?)2hio4ZBues2-u|PMl)U6b z-2*&Vs{*u;X$$7(Gz2vU9(PtTivNnovM|6bB@7opsPujea{cy5Eyajl0euMcsGD+D z)@3UeM*tbysE~lV@!A<1F7BPkR$Vq{nDurVYSm++$s;8LhcrNsHAo;M z%gHP5{ufz`^)nE*GKNJ)TxD&dRQMY_8B}>YZ%YmSP~WUIMf;-%JjPrqamlK zuELvitXymwRsya$31d#&qQ~8;`0J^@5sqBAj_PsN&uh!&{t3JWiHpab&R_k_>A~_V z26%%kISy9b1trDR?^bcR!Xy5UETW*u*PNb_UC!IeE}tp~*P;g&R?8}SQAx>X>|V*x z;CEyqfRg{{^l$HRo;z3#e}E&wvco3EaQQI9Vcf1k=9)1|Z2n`Mol~J7MVt3q<{&M3 zNDM$A7_JLoC{r%Zr8rhxYLsv&H4-=s)NFg+72NpU#8d1)*{RyBv%w{m@iy*zBUXt1%r$-8p<&9d5{2RJBnCu zFmn_K%sGb9xYbgXVU;-*Mw|e~{0bSQqnyIL7!O~cdNSG4aJ^!ZQTPU87sSYeqa6qa z%uE;ydwRvfS2V8=z?Icy!XSh3>&;+%rx_0pWDIS|A-8PF4UxO}85%%v+&W1 z&BoCR&BcF?_XDZigFG%21NbI%0|6M$W{ux?RU7IB*MEAIx%Ee2?1f&+)I2Bk$>^I( zdjA64Vz9Qo<5O4%wZ7o%SsyP;PSoBYs59|qtrVV1a-*|J6;^%qn;2i}J$>TY$4LX; zyF3h|r*_gbJet<|q@`)+1@yknxpA<7x4(5J1wpP8g$Ix+z6WXr^-`co2X{nQIV}a%(_X&dVn(oW_(g#=eB=0Zxd^POU-BNaLNtjn;WW z@@$Mn4FN{=n^9F8SG9hR3duA6HqsKzBS?X5`#~rpFJ&O3my`Pnm`Gqgs@StRL1jXG zNK!}6TU&`(d3hgDDf6z8J>7Pe5oyHApH=~-Jq!>}RX7btdrGq0!q*t!eH@eFsu@9? ze|!my=|fVVgArBzdVFDaAfAH*Z23N z-OPq6LOJ(MtN>Xgg4ZR^z>BM^)ys4n)_5~ANtU#)TqDHx02;FvyuVAuRTr?HS@6d} zG>t(#$GZ^e2}xtmTk*szQYKt*+BvZjAieSwu=_FU#u`4_61^2}ZXJ$rPW@pcjh6$k z#o=0ai{PclK&Gji*=Om_{~NegMe?@<^Lup@p0@zLJ6O5PZ$&k5I=yj}FDT+aH7Y^Yt6G$NS^>F8mIBGwm^&Mt?-n2)pm10z0_w!m#@`4YzrOSSD`>(y`&|pd(yE za1J#@K3v|RHLTvv8ny*7w-9rA4FQAqvL{FlK}p_Sj_+ca3((HIyFg&P7ITgUrM1%+ zS8nWJ$TQPl+e-5%-peIBurr_|gD)He*O?oS53CSlS|AOd%k={!=h^{yi|h0d{xr8z z>G`AzKQL+Xu*a~@H;AJLWN%g*nntNUxa50X1h`* zXSzCp^3io$@QLc_z9Vine{ETEJ-)N2TGX&~*h21VkZ z69e7TE#~F>`4Qxv}J|)S8RFQ=r^69cQ4?1W4(L!+D2~KuEAEn*Iya#P^KA zST83kkfn2!ta%;(HLn0lt*}MADWg)u3qJ{kD~Y}?@BOM)U0I8UHm2z$)-RJJtFsLsFyQ1Y-!a+jDmm{DpprhzT;QLGb4 z%-PC7XlV&s+{?VyrS1>%#ulgRc;$e$!AyTk9dJ&Bei{S4VykRHJ2E80K@twuOD0q3 zFP9<~2Bae&$M!5bcXmPou1B1E#u&*NK${GEfJI193_KU8fIS=_czl}_p;guNjWXJu1 z2zU!M$iD=DUGct1fwz4kJj#YUHEhzaD*QN4p?l|RQJ~j;u1w_-a1k!(f5A#GXKb8XAmN&12Gq z1`?l-hoI&ul=Sf(G76GSJ?2@3D?$qo!!yZKPei82(v;l3V%hE5ck^V24cw9eFaoyk zmXGKyO&Y6x_YCQoZ`Z#2Jfx(S-7bCi?UAT+2@(sZD7g0B1E_N0Si}Trs1O>OQ_Gsg z0jhc2a=5fL8|HA3)F!<&j_61)-G*VF^wJ&H;B-vt2L z-c~EGX!DP;R*;D|Q(w(P*x9O|-$RFpv9R=VeYa_6GmZ@i^4CCAXhL2e(|2b+3VZ3bO@>jZJ&ny@ld+K^p%)hLs@MyHn+-1v*@1W|b2;O>gdW$d|fDhg2(J-Jvw(1!*;6kS6!I-o-hEaX34q=08xR*p} z?MqtLDM0A%PpxpFtC{%iPJDe(&3_mbgS$H|DFx6{;}Jx4Qwv8koU41S_ezPnFSYDG z%!XQ^B}|mv!_`fne(uuMwBhy$kYL4D$`f3cw-fn5O+fOUlI^_Mvg%b8c}MiInq$ z4$+16@Y16Ls{h%&wKp}mQBC;q7G6=Z z{%sX)ync5oxgj(6KEHOW@MuM8?XJ0_w00XxYqttb0X;NZGy03Yw07t!V$$PL)=onV zO~$B8HGA_L0fxo==A*GPU}eMm8olN+4#;drG!q55Z}@D(*pK^zlnw+B1%}|)WUziW zxYqUvNmgsu7&5HZKH#Sst&Pnmg^!HlXl9Ms@I@WWRTpQDMg<*CL0PZ)2HPSTq9BXX zwU8bYNYA_f9RgpZ$#MY^@{>p6M@m$6NJERyyD^}VT9pp^KMfXmgZ>&QGA^v-9e$;w zegC2etp4)y07@n=*9qxYwHG%UUt}OSPfX^l+fjSMsK{jkE7~Ujf-wIB$#P z9`Mh*o-lx8)(V>K^g?70BcG@ViqroWSyx)zRcp-( z`$Oc6W8oSI2QhuK8aVS(BDosCfE`n%E}Ih+xNuwYhzYq3$fT(hhVwMDz50(kOBVAN ziP;anM3$DP5`n$`BG@WCrUfLzg{T_ycaCb?9 z=#nBLe&X(uMfi!XK6VEJmM+{ky9au9+-FAKfKhKN2kV#v!H<#T5Q`U%V2q(pIgJC_ z?NT&G1Tv{M0`R1U?%uzq=0UxBIM8VB1PQ3k8kAYM9FtA2Kp<$HMoI$GCski6L$MfC zY1{QOi$eTZ8O8R7H!SdXN3{7s6p?BljzFYwZ`&Ag^Qc5uGrDOn1xEAVHWKutz_Vyxi1QZmNHa8u}cr^;ja+Zx1C-3@5G) zB|^aryCr7|i4HnBiQ`cyo62rVBGLJxhnr+!*vET7f+7~ep9m*DHJn;H1(nXoU$3l| zhNS^WqdM8^Z}1M`CBba>>FYe{cP0N${tuGr5m|Avhxd4$i`yE^cTG7rrw&aPuVM_e z)X!fJ3OuUm019k{R!o$&ZB*d=s5G-GZZWgHRW~iPZSBl3u6+fUy|XD$$ooRBZ9e1C zLZvsCS85fkwHKJd`x>-Nrd1Ticq9yqrk|GPDGfZD9*}((?U7UNc-Wcs%p9s#h9!Ep z?rjj{dG{k=3u4<8Tz>F2il15iZmRtTW5y9Pfz9KQuSMQEqhV-lKHKW46`p~GZk3I@ zep^Wz0_rv&luHF>$R&Z%=1x@ui37gNh6&LfN~TuD_TdU+uLDz7*5H;b!w|_6=wvRu zmVC-~3#wL0&2FpDt?9WHyr2hG`bzE-B?&gD3A8M!xmNR+~_$liDO>5Jj@KI;BBgU;@xESZQy9zfq%GFvaG4+0$bCkl^Hf=)oc7Xh0# zwY+;I@)V|VT}w>K@FqGIQEj#5)s(fo^Q91DIabo4rSMn$(d;9dJ{`&)^Rp|yT0g?H zb<_oXfrJmC^JC2w;>sAJV3h8R|^tP15=#v$JvyA*~S9 zClMOEm*7|Ci{qlx;f(>lN74g~&50wUheDhWf#S*ce6d&*C`-Ds3rkTjQ(i9aBe{ii z8O>WkP#R9vfr*NEHR@%56+QIL^Qs|b9JUmi;^_6c<`&%wdxN(cD5))86VfIDr%Nge zS%wF@Q-au2K&ilViHolM{0{1%9X>8(26-238otcft??kBVi;rNHTa3HUimK0$B*)l z(&Z{1I)d?$+@b6d6&)9rq07{-=* zydFgT>~AQ+zHxq_sG9n(Jb`@J4aXLG_>J?E4N2UE=b*2?7ib5}EN3$^*#txm`o{U+ z_f^8~6%8g~vtj4dKjU~(V;pGy&U9)qh<41Rm!Ele>szq9xWj@+DE>pzGY}2dNFXZg ziN>bkbC!u0{|`(xxz$Xc#u`Y5?jR-@gCoYi8VtLG6d^t>?N1b+|Gsv=Cx==&4aVwP zpWJ9Og^i2@@E)#(@glWf_^Z@u0Ed+r#eW8(kL(7-EFXeV0f=q`agYzer~pL2fp~ww zD}_-3hyerfk`KWs3xN&X4g_TbmmfQG>5n$wL1ac)=iz#7`&|&LFZHf5^}fO{k5RTf zECJ1DrRR9bvH5uf^9p$|=cA6hK_?ZeL;T)T>&($zTFMuCx+JkiMwyuU;K;WGj~AvDyCympxIk4 zc~t2^SrHS3PQg(d2n?(lsGNQ!Ymr_LBw#3#vBa|WNNhrD0OdxTa|mOu#)7Z_K%k1o zqxGYz@d*8>ZafhEwV{RBw7+IVVTR;yXDqONoFQ8Qq3y{lgoX{w6-xLq6EsF`e-g(2 za~@1`1KZd1G&5dv7={z1Y)C3LP6?EoZ1c0?4!K~GkaWC~)TSiKPpV@!8QJx&s1tRw zyeOHXa)?6#>RqM$^5n7vS21IVl|Httz^==Y0SlR0pk^0J?$-o13lFa(+^Pv3njq;j zM2^u_y_2P?f(#_@qa=3|GS$u>h~cL}m~_7?hG4;LD1bA+#f4JnqDe`uXtT+ZwR(54 z6o&;-WR5o9$uz8|5)(jj?+Dj=6|v&MU~;3Rqb&)T?+X3Bg{*B>>CktGFoflH{Gfc8%wtI>{uLKsI$DDO&TTz~^oTRl_D}@*~VEofB^{r-XwxJd@E63{0m#5y}=pb=Hvs0<&rB4xRHqn`a zHZZp=p+^(?k&tR1OMXSuf5tQxcq0OATRfL}rQ?Y<|5DSX6v*dLt;GPqv8`lSZf$q8 zd0#gDRQm=bM>xuCeUfeuJ%^?g_lR*{iS~ zK11Vf=HU(lW=^D~*?&cwxsG7->)A9spG>^oaWrGhnwEHAEgTtPy%Av8)pZ0OD;5>% zb^<|WtJaLcv25HlRrNJ|2#pT!kZNJbc~+|u150*Zm5*svgoiWaWno@VV`K?M+h}A+ zt_JP zTDR;{be$WeDL`aEH7AqS@uFTOG;2psVH>>5{C4CbWb%r?!3LsMLw0dDn4iv}?C3*6 zUQ2vKM#2C|tm!(rYQrcEXB#TZo7HzVL8lxRW;w#8D`A>c`9%0h{Xko>R65#xU$AMF zu@C1?LVU}P#!T9%Hs>0DHk02iZ;7E(Ry8d0VHz@76v$2@rHu5 zWX57XEK%{?L{=?}hV$tYK@KuTipQJHEk?*XhvtLZPOC;e3DZv;=t|LYTd*F*c#LrQ zGh%s1pj{~3uA0Y-tVW2OGV-xfp=8KHuaxf86z9O@p_FV!l#s1a&%FfI%`yUof(fh z^T!vJn4z_5g07ZVPqM;_EKVt*e{g^-#`O0ZKnon|3;8l z`Y5&D6*9s|iFLwwYXVW=$vx;*bk$G)Dmlegqgy=Se}v`I18(ot^<9-wox%SwMZ+Ox z{ZE;*H8eab1DYs6!Qs}|v2KcvcYLsO6?_pEZQrL4=Gcw|Cw(iaE}Onh*5P}~twq*} zL7X6^H4xzq0B?IpDy)@~g8y`ZP*kV6CC}uvgz#pHMrsJ>?OdTT##ryAh?_qGhFDw8 zVofF%;T3yOu-F=OW2QJk6&OsMcD|4&?3t0S1BxY=19=UN0GNKmA4ERmP4G$+Bt7t? zVJsfL5$ZZByn+9=KMK`?Ban>FOX~lkCJRn%o&yQyUYia?B1W$o`XNL1&5Lv`BK@%l zW)Bkyg@0zA9ZjDKlM3$^h>X$5$t*JV=t#-6D9ua75Lq+8WaIAGeK9_t!)lVAqD{$z zD3R#RIx^MsxEU#tevhim+0j2U@m*Gt|xD%=W}9fa?formH&z_JFa58R~q^PKCX zZ%6t0^kMi*;)}+R)H!L1(vE+r6&IG(cmJtafOu>`5IL7-y(7%`UgZl20ZbY92N#w ztfo=alhd{QaVm!Z>_Nl-ms+@A@xrU3P1N3Up`(=aR-~lb`4{I}?hgWoi6>1|gtP;g z?cbJc_wz5>27jhY3t4ithA>epGaZ>$r9xjKKp&%q4ucL@Ik19QBwx7gV<2hrwW4I` zV5_R^lb{}^g=t>%q;5x7?gi%JrBr-JVzfB?V#l-iRqgqxwc6KV3v9Zm{1Vt&>96S` zAu$0K7GwrySU5OWnz^>4MnWdDJt$IxGMRmz6xi;SlUb&RCbNVHO=hnG!hCuTqFHOj zRnF6d3~)u8WY2ez)UD2x<@MZ`{xDEJ29mlcrX#p9|ACUzy(kEyO%@kzehtkZBM$_C zXqq(g9_-yEv8cy5N6eo`QmMFjeh%*TL#N}>SfIIveOZjiA)5dE9ri;-mV;|4Gx&nM z`<0*|6$cL(nGqK{IHNIP(z@BIuCahS^jUU~v5DL#FK#-*h2Mx?+nRYVw!r38zg3L^m#a*hRrz`I~=MTGAl z)wduvm$1t&xPbzRM}selBWEk+^|Cn;40>4#iVetUsIBxGn@*uIoxc?VUHEBGr)&n( z6=cA_8i2}G66u%mRjvzqXf(iZg6U(iOU1PLtGXwiX`4bow7X3^@sf7_D($IuX%vk| zXIfbyt#&5MNJuw3^CLSd&86~ zT!X&>X0Fv1T4pC(Bwp;~&hy~bME3n;N{K10`a4SUn`y^yxPnJG>UiN(W1#%r9Cb88 zUhRHH0OX7)ZM5;zWq&i;{5o9IkwRhk+f5%II;S*eBf&O~B-(c*lbymM zJ70xwPPZ^)%RjdI{9#l@R-ebRPFx{}T4tw?k{X)T=PfVos)qNiw+$xHl>|iOh=>Za zYXViX*1>971n+8U7wL^&EXZI@22`d;v+ih|&Wmo>8Eic37-1PyHrJPx+PASfymOHB zAGqixxMV`sw66x9;{|=G?z)zAHHs;I#E<3kLfNW={$7a%(BT+wCT z-CfbOYT!-bl%)_fd*Z=Yd5f3WmeY8yGE$F|1(tVLK0sb|gAgq53O!ujWjMGn$nc;G zgPU>B@sJ{dji*#N%AA1TM0Rvoh}{+5L@{7#v`gt>4>3e;h3K9%SZ=jP<>NWkEMupX z8n2Txd4`xBT6%DaaU*n-)7(5N(obQ|LN4K($2$cE<3*kc0PfHFcWD!Q&D20#5OB) zjy=$s)Mc_H2z*`0(S~hfSz-vh%P?!lbA|GCRIIOG6EOb4_50O#*d|lf7~jPZ8t+XD z16Ve^sL={J)Wwq-FUWXw5opP@Dq&ee7~{+W=XdIOiQf~%(8|AwOjc5j9n`JPQJ{c^ zNV4VKGk&?v><6&qV6$g<&}QHLebzym=ax*G)a+7LLpNt_KK~CIgPU;RXlJ?!9#1nv z6A19hQ~k!m#?GPE|LunUwC=8RpfSk$x05~_bnRA+N({l-SQ615cW1eWYOC|LeJNGt z+k-z1cfrv#uXV|%=YduZ0Q2ed%~!E0Bi}MRX0isi(3`_`rW(f15D{IQ4+#-gq(;#ee#HF<*O>CNxZc2E&Kgb8ohqW0rSf(ZXeij*7gL(%^NcM3dzWEm7~-pr6E&wq(Y-QV_^ zq?HO8*t?NjXh3m+9;oR?954V^sj|fec+TV7Xy$r+f-o}2`W-MTtc{}(qq!1mFmz@bR_m2+`L0gn*zoVP8-$uvb*t1KYJfWAf!q8x|JJD75ya@|t z9{dxpY!0zc$x9Ny zmKu}Ls0rf{)Z3EzMSSNef`|#uQ8@L8$8jWCIFn-to_G5Tx8dRl=~wWQFCODO@$iY@ zLSvO%_hjI_R0A(-HBSbXoJ7DIe+gbOl1aL>%+t3lHuwL1ok2;#Dh+^wOQy3mA=l@@ zBzb-%QxCA90~h*D2eZp~8<$h?LLu5be7Xw9df70nj6b1{eJ2iUY#OXQS+@s$yC_UN z&iDwTbu~NZ=M)ud7N%bbLYbuG99C%Qd3uh^<5o!Tdg{%owpkJCCq0$J)_iRhtF3aP%Ld1-_sJfA)p(EQ>d6SOL4_}ixo)?OsQO9+2=H14}XKfwRSQIIFoyn{ij_Xja4AqFE0W{}e>{E*Wt z{Ls@Y9r!|7%&pd!5rt>O90V!*uu{;i;HJH={jJqen4**z& z2chB)*Mz#DFhw4tH35H(JmeaQ7%D2)NbsW?Pr4eZhy$k}QO-zM6as5l04*+r1SA~` z%w!gpLs+DDD8;YnM4uF|qT^7};$c1)!m><><)|od+LQCNs#VHya-#pX&aEOuCnwr( zBc;9*jwe-?&9(sVW4#Ge6IK z6)#I}@&NWAtcLHdW`RLtHh&rk)ro#6;nSM1FeqYCkE57? zu__asl`<}~3k7-i2asZjjXDTZ{4->Qz3Etw0?5d+N8vWk7p(p!{Wm@i6O6GC`x7Ar zn(`?rLzkj$$yVbP#(Dt=jU4)HT&mSrRDymQ(3jGXRoGU6HEN1dXM)Fij5O8W0y&6& z@)e+PdHA7hP3@pbf59FjcU>4fw)IKm(w zR!bvBZ=^~N(g}`{6$M#M+6~mU@LeG+UO%V=hC!3K?9Lf(2uYJqYA}kd8 ze17o8SMh_;x|)GBTvUK%Mw+-5KZfm5{BmPbg^&AQMWe;JRtG6Yn4fVsco|<5E@ZH^!Dm$j#@nVomzeZi!nAv<{N;v0 zy#vGPrfox8doXM)7qvujz-sD2`ViBwjXZ;`qSQ|Qtaoi z4CgPc(3VUEO%W*uN&J~1?LNA4J4w)T?IkLF;)1i|Vnq(eH2rj}KuOnxOS#Mn)UmiM zGj%^f>{J^tJ#0uiXKV7;6O6GJbaw0~7lZ5?gjmEM87B~ZYIHZOeJkwD{kVU^)FG3c zi0%u1!vJjLeSOB3*K%ZlYe+s>5`|8@l_mG<1r z#GOOI>kK*G9BJN4PaK>InPIh#r>l-+ni=X$kfDyym`O4~FB@UK)>$Lf1!CU^Wth_K z*Io6)2tyq$6}0#h0Y!rfpk|UCT$`Ty`Y2HREpj*B)xvIX6KKF ze(+I`2bm;?bg6-38%LxDtm`kk35Tw~@_{ZN&!?e*$V+H=cn!mkyxX@11c>XebSmYp zzob%Je*;M9`U@tJn!NjLqjCN1MQjgTe+3bjE0K8Yk2w$~j+}*`wP72~g;c)L_OV6? zofx5$<}J|K?96%3+hOuaz_M9}$q$n4R#`!VlcT~GZVj^0wE3XW;2Uxx5UVrr8hmA1 z`PX1_a%D=QLf(TKk4I&^5`jnZeW4$NC~$~weiRu2?j)D(##8O1u^ z)cb@PKrB=T+6ihT1z6_Wbx*G&pu=POEubzu6O@MVK}{+Bv$8r{#Q+t4l*_?1_)UP2 zjQmBFLhfr1N{alIix;f>+FHLhu%j|r&ayi2iN)WFCuJelb~k2~@*Jf>xvRzDjvFa} zS#=*fBU?2UA|h3piZJV_DB4LySMHCw8ce^(R!yVuqRkt97QnrW_;cjCg>5C2lcQ`r zX1CTNF3e?XRN2u`pErx+0P;h*oX zLD_|y>0&Wt4|2mF0dj?an9gEnqP^oV^7M9~&B$LmBmEO%i>~BBgs|v{bTHAeh2xSJ zDN^*HOpHPP*%o5ll#t8UWO)Q#C10%d1XQN+JJF3^;2TOeMh5#qxg{=>r9Na@Njrx* zxUWTaXZlRp|3($^Y9nq?EYG_oVHUn*t&^(9`>bOQ!(kSkfEdjpjyVj%F{eKzSg=hPp9lgMK0PA^g^;?h~}1g0Zg zPEw2QZ}Q5lwh2`0)F@Ekb9Ri#N-ixqWy^-HE@4cR(M$ z?)dNET~BOkqVl+;v9MOe9(Q$32HmoofID*ZAzXp}%g)!TIlM&mQyrBG(AihxMGdo2 z<12b9WS5kH!$X*=$}#O>v-y}t*eJpDjw!M_glS|srcpMVk7-XEC71?K)k&=Cfd1`t z_d3P|-^s-LC&l@b=paW$*17UG+KW0_0c`AJFi-(8NsqJXW<)0We3`<$j{@| zcpxxwU@5x14$9PvGfW3l{J4O4 z8ej*Ca?JDF_;m}q#2W9*#A>F;d!y-Q1x0x5^!TQxr6>V8`x4p2corik2cC5g0YRYl zTtw+J-JcR5&qrdga!EJLZ%tVm>xG0$`N3tO@{Xd$0Xx$S&3w`}nm(P~T6_~!@*wZ6 zm15}A`Yk>OY6)0zJe^=yulobeGTsT0+_rbUlkk1#mJ`m1U z0F0?zra&_T>U13>VxMf!$jfE?B1+t`Y>aIXOS~y}?Acqu&?F!`lLZ9kXfSo0L z`DCFTAf*yKv3AMSvbg2f9vp~M_bLx@^q~)7%5+TtKvbb6UMvwy^r3jM!`*|+r@kz* zpRH@DNnTp~q^1rVJat4cOZ1_+#ncvL%cbI5q0(Dt#Gi_;{u1^91Zr};cTgi*=J7-e z#qMueHy^jr7TJN{j^c3sWz#XOukZuWb+~Jx(IJbD_|I=VjE&O7DOX_p;P8q;m2jnS z1@f78XW{&Ia!6|6bJ0~?(g?Malb-O~$;a_++lhy|D>9-mMjJ*TuDbAnDn%e}bm5J% zxKV{)m&NU6ABPU)9a;Ec8Qh+QZxYHGVsD4|J`0UudTWSj5mDb|PTjZ#?2cu6>4w z{*s7pdc1RBSaFKe`OTm9MCys^^Rzld zJs8P-#j}-YJlV#nw1Y{tzS3|vgU4vKIP_{74XS%ddQY{CqeScC!p%el@-W}zcwa%U znFRwdyh(8I`?U_X2#jl6-3M{p6csxTz)T;;^lPYPU}N5W81?Z9xEG=6k2k?@GTu9! z#SS;QDkRq=o9iy%^mDC2Xo1aE$!r_|_aOmz6_I1jM@a|2 zrWt;$kV<6eI4;hZR<7rX42E0W1%qVtW~iY=Xg8__v%&?G#^`d&p( zs-p%MnE=*UiniLA{RAGT33JYY%BH;mds)Yiv4+s;?o%8c2#xi$&&7&EYT4_RxE=+^ zw0S)W&NZVEVKO}+N8GS*^P1Dcy9Gc~+oLaUI^bCVB%(iJlikurhxWeC!28h}kKc`h38w)cH=HiW}7=%I<&?-);KaBk( zX{%C6yuCJOPOlRkGS*MjjxtW2k+Cz{QjtJfY7>!>@aGgn35aq-subiWAdv5U-?jI- z=l-NWfKs&2liah<-fOMB_u7B&UT2+s;AM_-(%BqjrVTzLqk_YgL*abH!v@rWyHvi# zo@q@(x*NX1O0aVufYQz%QkVl9e|ySCt{G8p*4MkVc}YiFaG4lQ6VZCs$W|XE*f}8WOI7u9eSBckSFv({a$Z zM6J7VkuJRpaS3u~;kOwNdUVOPG6`OAyg6$XgS*6~FM-cH0$ds4C5{l%*W-f6 z8u(4F*_Ff>tX`U^!gCI$CUZlFkkTafnnZUVd9N!$Ev5w+@>q~`&|8N)yqk%epm!;M zv+YcPMUZ6hLKd}7;K$u8yJI9CV$Ktq^aoxljh1kon+XZV+F1=UM9wQ?MZdIIF{LeC z7x=dE&JLdemAhNWY_lw-0Iror%=G&Ooi3UDVc=X+6f*@eOD%>K#@z~B^hyiZ7)IM8 zwv(X&9U2Oa)4-0*G;?|9fREZCA+AI{q>swC7I!_aHOP30b0~NVcr*t!N?vl!Urixm z?KSR=H6~;hFL+1^v<-b>)nz?1sw9o-Oup8qeUJ8(>y)D;!(`34Dm$%4#PXgZmqbBG9!GH-qm(;Ww9(>}%${dY zq++$giP>aul|r3fuG{Q-t1ZVAx z;Xocwowc4>|3C!n6Ick7*{3mVM{ClVD=Df9SMqw@!g+roZkNq3mx<3%G38BO*H|*N z2&$jJ0!3Y_7&^Rt&er5*e!>1H&(a{_@G><8u7Et-z1jKm_C7k?Pmz51vJZx$%jA>r zd@_a&VA!76MciQBRa-wXZ%>SOT}d)Nu;}Vz=oy4Ay}g_?>}4u;&{Hi3?m##SDZRS} zM}}BtDjlusIcmgAZHQ;NlUq<03y~n zxio`InF|UM+?4+U+b-LKa^Mtk{KAZn4QrLC@kL$49G?8#w@^K>vD82zxi)+>%2gqA zB}tN_Cm}|KD^gR%$S$#PKiehwPb0Lv-@?@6vgt-a?N$^}9*L2SQb5go*~XR9;;2+t zR0L+J-s%~+Tf#dWUdvj2_9&FXQ{+}W6HOLwTK2QV_WUQu*g|`lN@hGk1-oIlCt3+K z+9~W)@KRuzmY-cdFao?K`TLT=_GUx?J_3`Lwg5^%Wgvl0^LBzMZb&_W^VLW# zX1ku~{meyVvhf6onz za$=~0$!OveT$XawE9r7u?wM?#*6UTFDh;QZ=a@ns;lhmwPDum=J|`7Oc&zau`D!ZIYyR@dmNc zDADH7?Hpp+bz*fqdS7H9dj;ZRi#?mw7W?%GVSVR)_B;4R1s1X%{-{7QVpe4wCS}}c zv1cH**oz3~I+GELBQCMU{xe$a%c~VCKUAuLl`?O#s7{n&b$a;WLY?FeALgvy>d)au zS7@rl?Gt_r4yX9`V^N<)ES8_3NS9pw5{z9A1*W(Y1Pu}V%kPjIHs+DWdk{fHumhw* z1kyba0eOiCc#lf+v9}OGiOjijG!h~ZWrPUkF>gZzVyTD-#L^()m=djd)In{vQqWwS z@G%=C3lT6NMDTrMSc059A}C=NA|M%icvl}o5h5rd4SShth=4hGL_q081o6@d5!A4P zSh{#IMDTj(5>q;uxlUWMt07fjh=B3d@>}8C$~hkqL6ltbC{m3#jFahS^(3ONQA@L^v!^qI=}eN~4mz5DW0&a`X$Aap73aG*Xe1_vxca9{<~Dzulufsz6m93YE>0}MuRpoAEc z4wD@mV3vXdB~%4CKnke7Mwj?lIyMj-P5gP^PG-@5zpt8W81VrL;UGb$ zz_k=my7ym460Py; z8FG%dp$7&V3Xw5jpja_&wsc(@e182F>2e>$9nO|x`2-9KISv_}E(Q#C6vYr23|mYO zFIWR{!AtCsEZ#du)FoX~wAMdlb z;TMeLa1D!dCmC0zIG`Qe2of+5g9IXSFVbUm1b++?{L=RSv084Xl9i;9YkKyHvtg0N} zz1(Whf!P{#SbZM^V2MBkp@URz&_Oyj=rGJ&3_3KkBj_+(>}OCK4;@HtF?%7C3qp~2n}^m zyq^f78R>L&AI_K~|6Bw)wA9#$hQt{rQCT(UT~c;Gn7Dlu#AWj0#n0c(-;6%_uz@-!VOnT*ZeKX59Gs-Ia_RbC5ZT zvj~a-c9~~)3+*nAJC9`ULzG7{d1^7clfPfxMbhY?kv8$`kOe4kq1`dE49_Tyt4VfI zfeLT0xMvwl3Xw59qgXL5wRBw?e10n|QkBq`Em&v+Gf{SU0iQ473&yw?@-uk=7`=?o z3M04f=mt<#!^_o4?<8_-z*1u3-%v7BM%443a_sKW*OVaTAG3Wf~wdeCxg!H~h| zw(F63WT&8VlB-`W z#o_fkymId_%lI#l11%I@o4-Q@G0PK}nMPj*%Y^ir&RjIac}i4sQ=QBH1`kvtIdfC} z%lK(mrFxLUFGunT1s;8t!ISl#xFOXUWG9=J*V%&!d|8hkyNV5anYLR@aCYJgXR9s#>g48H0vcIDJMpbV(ZAcmAGh`|#l zWSY1S!u*-!JS)=U3zFLDkuiKRv4S?>iB_hM0)Q;KIpyQ2yN4C5Q07V|Cgn{O*HT;` zQX0t&M=&HDabuSx3r8H&B|(NGiu4_q6!wxCnw{p#VG&d%3*&XBLq|Bi)ZLPweNY9r zzNaS^g+b;HdfJ}9@otXeLPF^j(m8D7Z+A4^l7VLN(16-r-W(qX7|Gwgvt&Gd4G{nZ z$Hi;P4$evu2t0&3?`HNo^_OgF&R!#@#GgiBvc}kfpkWDaa0mBLSHrgW!fW^rb$08D95>2(9_@$B1=+U89^`Vi9@DcleuI zk6$=DT!G7n(&1#e^g&}|v-{Jz>=0tH%np(U=Z4}E_K_jXkr``0C1J6V+)#9>V2gVn z`ip9nZ6wd~7Zoh>8vN$+65lwu+jQ&-7VHe>=R^E0*ohacccEHiO>$1;(__4j9`oU- zJyo?D>tiW5?!qPL-O1lv9>1v7eYnJHl~=9$Sr`agit_}WxrSWH+;?TJArq=*QhOI& zt2+t@$XS9rtUtI3dJj{WIR(8n2nX4zq|Iebes(GEU_<2v?f`bj$TC2qG+~p@0#yOf zbQdCHfJU)m>alcP8hn0hEz;$_4tJRJ7$*ZwA;nT-j5}|jA*07SF#`>wBLj`Na~q{? zJV&Ign1SXQOevT$7|k`A*J$r>B+{_mA%|zo=5dD)fRY?V7gh&@_OB(KI?ER15Js{x!GZ+&_P2YJ@!#QagSrfQig!&pdpq93CC^@f)Llwi*2hE z#Ak{SgH0WOd-=OtG-Ui3I*sbFQ5$$nWCj|Fk%5K*VWQU~fZUmvH_()nMC&}s*#Eow z7!o+5w?_$S*vnK*`0(~%4&FdR=|oA4^ITlrbL(Oe2AA4y^OZ)=llVwo)TbF}7;iZF zH@83{PUJ)cpp*3?Lr>DN8E7^$mp}mOn-GtkEFxf*$FB!aq_&tCfZCHz%|Jsj1p^JM z>XDSRN-#wdGtj6;g;s`cy*`Q}yToF^ip<_X!?1uATtpbw%3Ib96{TW}4FYG$k#7s*b4yZU{sM8jAN5K{O*>sqRyY zq!I(oddjd74T%XZ#;#c!vP+nT?uShu&tf^qv!3HDsVEp^7kFuL@OZc>l-W%Rr;_XnaeI z>1pHv!j-QQuH@hP(;@?n!!9)_2j_UhF15Jx*ySYX3g0;wc9}!HWl!esSLfk3>ZI8^ z@n{;AtW6@F{7Fba!pI3$rY@p^ie1 z3yn?}LokbqVu)atSWGQ1cn*mR>`sv^-W!5h!4Tfy$Hfo~Gb}Vtm8zTc07JaM zGY%J+ZK%qE*@hB4lzB_xSrem^Yi=|D6N(9Y$>)}S$W#R}K;L`|Vl%ph=T1D=wS2=I{101rbE;3*-6Q#(=(@Gu7t zcqpBKhjG5D0z7R<@DT=h-v1L{X>?DCcN)fO0%8L^jJIy|=#L>FBSHcmsoVgMbZmfU z33CYqc>WvWamXghfzL$Y*8?6>TTC4ADF2Cd+A6~u#S{P!s~Q0w-VpGR!~l;Z+G50@ zL6h>xF0mNkA+rZO412)Cume2h0=!ZSS%tl1aDc~^(&7Yotf*j{fns6Uw($~;eMUwe zFrpCP$#o;%Pik&Yygh&6|B$sP&4V5jK9H})TIS}4Jy0GiVb-u7>QIWHj+(n@pwAgB z8R)M?go8RIT0;Kot&+UZ1oefdQL2fj;p^0QI1P`qKN8U3jo{!f`-*lXR>GVTn=-Ngb zQ1ljH*UmhaZ5X=dj8n5b;~1CT8M@@^aDh?iI9+nJjKn@hY1u{bJDz0g5@4W5M>m=! zMnf^7JLyj;Tt;~0IR5R~P`?ZF+0Y>PEq|Rz4NC!~$Z)chAu$iR=(U*S9|c1p1vY*$ zFy+aj6xuX*AVV};Dvu9sKp5Ug(#v@xQw*e7NsHjiC>|VT`dIf#G`H$eYnZrNFDVUq zn6e#2g|wGJrHw<$HGiW;T^s`hMgwD3O6zk5RLFCY|4p_NI!(M=nS(eqKJX_gAMZg##f zTk*WS4v)<7-lDN;@enuHNxTcoM`gUP8o@W0(GMx)@MZ5eazT!>m_eB8jPM5U3wdwn z>olY>yupxWCK^~uiU~x4R7^~G2E}~*GpJ62jd;xjHdtXV!zQGMx3b5H5c82GOUDgT ztpgi~w;s{G1p)vz5J9jZ6&u(PlRcZlYnwtEX|`@Z6p2|4{}pC?xD@%~NO!{**MSu8 z@LN$R2JQ*N)#;f-a8Ecq5(UfxY@{qRD|-%Kwvfv7m?MR{;~`~Fa`csW7SZDY0_#?! z;&j)_9;x*XUxq?5toS`p>QPQEZ>d0u_Py0U8Q{AlM%?OZjA}1yF3tj2{iNm(E2uq? ziUwiyKx*!f*d`OtF%L4`#^>(H9@$1Q)*>DyP(jO2^RXCj$Mu(tx0fOU*x&?T>X-)X z;TpPVVkB>DEGjYbWdlNJ1B2{)L61F*S7Y zo53~YJA|zR6AE&^EHjyv`$n>fbv_iCm1_@Qh9@P66kgREdmCEMnef&&-in?-+>8USP$t9;>~-ZY!J7?Lp)cnL98`!I|gy(CwTXq8+(FRbM0i*ggA*CH9HZ6Lo60t<(2)bK@q5;1APIggDC+(a_w z`7PBHK%$NdLyO59%J0~MEoYZiH-6e2Ga5Qh(VTb@fzJ7(y zlv#P>A(!&4b)1AHpCXX3^!WifR`bqK)~y!5i|0b5A*d?x8J@$jEB${MW--VWb~2w_ zkK%A2^zgorf_1>Xr0omKI-@3d+8gx9r-?F=N5FX&{Cu@r{4q(4(>(0tj~m zL51U$f6cbfr0~TBip}7-j>gpRvYRDP6?6)Arv_NOS5@b7KA(1VQhA2)b-?83%i zsQx$*g{0}w*8yBCCF-jt85>B^=PogtmP8ySa=|U(UJGG9a~krCZi&qh&f%#nUylvOjHkHvY^>9zw-%QmHx<9wU)1Q5JB&%d{=C4e-R|t-G@{5T zYbwP)jEkwvn1%~XzVCXI%`aceJ`j_@162?qmbsF{in=fDTD19?IxhG5lp`?2;ogFb zbesVLoY?T_lX+{KqQaq$EV6$&`W*p`nWSLiv#;0!|^gOs+op&_cW$ za;_j84CwTkV?lvu+*DN9Ywg@?{${<>Ss*Vcq_KNodaeOjz&A*76ZFo(FV1UK_+j`PCa}P9v%J7B*6tV?^%q}BrKx#l6sXdn_lBHRh;*#fhhoJv$I^9a@cGTN zNSAvWcQBW;eAXeDD&{t$)5T2h3yWfCdS7HQHN4o#4>%4ylC^}GhK5*W%dkA_ahlarPP!&=@T7h>h)SMTAW&8DV)_X5fRGth7K%)+eg)Q4#7Vx#-&9<3b(KmGjOqEV4UOgZcXxHLTz#C2>7BF^Yi=n z%p{Mz%p{M1ndB{Z=H<7@l2j;(w#W-X#$nXe#}F~OPMjzqwR2{G%He~+YI&19rOPC5 znORXWZRFBO@O8Ic@{Ql~l}0}mp=-1THMs4P8E?Df5B@g|Bo9=hW}>};SBX9E#k(V(-F7ipJwGIn4*tScjA0Ivhc~cmA;KkHo_rsy{SoA z;c>LI#Z^=BW~EoKg5Ab#wEasXCnKv$R(i6Vm0p6(O1~kNZM=J#Pr?$W#-r(zKo)hX zu095}`KC`o2{9U%$>>cdxN2w%UP2XZ!QHVv6^8rKr^`yOLPz6WVoXo)7ou_>!Y;5B z8Et0y@fBjE0}J)rU9H^>;?5(ZMTqjq=tBqtGmt2>vqSJfT5;O(A$Voa?ig8yjFcu! zFkGN2AftRCGKP#4E2dqRu1kZ@Z_FZ9d^oRQIf9vs_wY0^w;48L6m}Gsp6)sH+0iJWzRL z#Eg<_eqtEH*f(xA4XCOyWc2y}LLoD153a{dj$p($IG(c~W7RAL)lDNCe3=JvF=WJ+ zHe}R}P$-t@BrS4)k&30JK?G6IuRx<`08AhXBCppvYRYaY6jUN}t`1fa3X;eO1u0WQ zL1L+hg2d7w;n7)R?6huDQVLSYTKyOVaiaDd16wGP!Iz` zL7k>!=H*dPNlAo)NMq!9x-S{0w$0vkH zuqVP}xGUKzZc5k_tpt`2d7&OPIyT}giw*ZL|69p$??!|}JtewA30QTMj~AjI_o^&w z=_i6{t~ycOhtu!KB}J&GnlfxeL*gQnsH_^?ktIYuiZ|4w;GR*>hmchz)I)YdJrZQ7 zXGtvELc?=d*CF#drpBX*ddT8X4}%fvDIrExwx?oym+ZD>6kNB_A=pfbsRi4UOp~XuzRA_!v9C@RvhjJ! z*h!nzKY*eXc`a4FJn~v$cMf@V;?5(lZkFEJq}H$rb;uvGkO2D#H|`_=X_BL}Hp-Bf z(%7quPALh!O=?{kEBl#Z#kAhib!qVVZLmm}`)1sQc3`8BS0TrKqtnHZ*I-c$k=KyL zbn*g3flFv-IU0&%vMY+6?p7}F}O?B zQLWI(lv+So-$c2QV>L5&`f=xZ(3rhJ8Ynt@0xsx?#9MNOR`HCM0QbSZgiFI!A%^fod5<1S6}fGYFS+_^rP#OPG5&eS79Ys})E!MUI;hE%4@);$_ZN%>~{hG6HWRFz_apir`Hw4fgp` zolJ+4?O@4lZdK4uslj`GXrr)~zq%_#^Ht?+oZHMwySf{AI}{CLl}2}$ zc-Lq!heL{iH^v)y`})@*02>`d5WKCUJZl>ObIcNmvnKPsdOayjmO1;WEi^CGLO$q+ zOa|{R=0h9Z`|~(VjKM>3I(SG{gNIM9V;BDpYHH{Ed3Y#lSi(tZ-%(FyGb&-PatL7* zQvM<|W&EdPZICSfRyny0Lp`J%JrzY%Pb({w#9E>LZa0M*#aEssHx65+`H6eew**^R z$pUO;BS)~+T0CWwh3)xi-(VZYXW2+_0k&=<(epgd z?jg&S7*;6BS{B#lQP}|1ar_3DwG$dvtRFalzv^sW^Ld*Og>(XqdqW4VMt3k1*MxVP+{bTtZbq!zxsz`SPf@3JohgnlNK} z3bH3rxjQS^0B0drl%tN#gJ+<2=aA?;+<7GWeGqsgx|!;;v*o-~u6G=j0P_t+%cLow zHdCC=AuF{G$HlBSxJju+aPtdh=(DPp82VHmWxN3ySm{!m zJ3nAj@MEG&X`$NivllIP37CI28Pcz+!&p%V;VzC^+#2{|0{(m&xhJ{_)@s>Mi$<5 z5XX+c0X5hMBale-C0CDW=GKRF);oCkoi9Ny;i2h&ApaFa5FXwLS{*6F1i-_K27NPN z7G+=4sWOAeMWW`WHtSRwiSdvSNy3BtXvQEG$hed5zxq6}v4S-0g;W)EK20|Z)X5^(;I&X!qg;BIR#m@=ug zM0V;V&~GyPLxCnLT<-if8z2az;~G%IZU?gCkw!Z%u2#qnFd1}5)To8b4H&Lwdb-)f zPGH9h>emA|kVag9V68-L@45Kv=e45&@qEqjI7qCOu;iw#j4UiQ&M~Ngm|oJ66v^`x1Nqax42tJ86YWm=ampV}>(La;tFv~Z z*&!InzwMvUL*f(78xa8)=rcX;UAv0lPj4+ zj+ss5LpM#%Ao#ah8sI_u=czgmZ{*}>fW{^5y%F#v3hLTMU&GkDO*7angFfvpgN?FH z1-%4bLCzT{EXiZnI`oHh=$#FD7r`Ten;A;?Zzt8-oh~@C17hVsXxaF)AsvzBV{gLT z&P;5}8=ZUTnC6&BVM92$u>e_?6lXQz7hJPQ5WNQ^^8EwOseCd9Y7*FMICnLAD=Yx$ z8e)k-IZ7;oayJNyIn=sOP>!OKYi=)wLC~g(8iR6wz(}8HBqup7*txu(kx=d_h$fUP ze*r6@)+3U^S#)aG7?vZOVL6fs%P|nca-t~5az_IXvyN~wIY@q&OvT`ioFRDF9?>awuXsjGtTRoKE?`!F(43jn9)rD z!kB6xjG;;(jPVA-D6$BIMMbCt!oCK@INQ-~K-ej3p!q);2)l-@C(D7^590U-?Y|cw z>{F636`H^^9sK%px*6U}5cZbc6apYD(^mso6QU|6?ggqMnV~9%JgQPGhCERf!+S(k zBq~BxjCkHq)oK68VYfTnGe(N%G$-s%`u5K=|B_)}hN^xWlnnX15V1Q{Rf7k!6V1gL zH9n`-k&nlp&laPq{R9I|n=t_fa^}f8bOvA`LjVo~-Nxm?OlJ`WB3R&{O>S7GB%3k6Gfy4fKOuXf6CZn3LL7gmLs~N-VooiDifA6W&!^op-rs zw5huqx8j{tMws0wbr-)rdBG5W*XlKbEl9=7AiNB_PpbUn)zSd+jNZ_(Z))zc%7Hn0 zO>3z^Ha!9eHm*aJ@Cc2+xwZI>A5F*u7sIR(mOSyICh!Q3Y}soRCNm5%_=WWazg)5h z^b`&OglI&t(2xs3HggBqVn4&3gNj_H@gW7q3GVRT>}58QTQm6ikvSS2$Gp)I62b;k zA%#us0FmWdK%MYrLn4ca2YE{ogtdUd6$r92Fw1meH_24CDTU*CZad4+Z!@{RDD$k?Nd}ecB!lS zJKS6RpM(W8bIrNg@V zD1!Z={hz$vaaMA#dYQ9jvh#3`P#jzx3I@Sf!zdc(VgW&@dU!6y6>&W*{9TrXwkf_A zZ+9)-fk`52)lt5gUB^5cMa=hz-2wY9PZrZv9&gueUH)n(FPWW;wke20q9i0gw^M!4 zdKK(7mKWUhkW2Ej??g#`iI$h<#mBGA>*DiA8VhtC)PF6@=!9esEhM(TaUvnf?5_pL zi&#HZ6b}a<++M-RNzjOI+M1 zGqL9L;zS)i=MfW(8$a%Xey%FK@FgnEGHHs<@F{1EuO8b?)V=Rl>pG32K}4hxd}>?W`yUZpA@BljV5ocxYoH%7Ypc%$CF zKHhKluD7=`vy6&3In&4aeNr-egY_%T?XGs8+oZVS4$hvbZo%SXI24Y;(0h$I?U2QU zBRs3vs7#hG1W!ELzf3iYGzDeDbB+;sNko@;i+=f4@eaajj_`P-61maNzl{Qla?$g) zUd6i!zD`jF&f-%*e;FgvNwreSNaWw5iGuw2_% z#g6>T&!|;ghSeA-s$5jnD8Xi`LN%=G#A{UEb=1PX>(KepeNv;sfI8dCAr8xG=+Wc>d`tMYCwzOt(Q){auvKjiFNQhx5#N4!g=T6ez=?}Z<$l%%z8zVH z@*Tjp`#Zkg*_ucO7rc2OsoW|-jLg` zSQ!-%JF)SWkQcs^e_B+V9@y$?qC%uf5>!{RW-0Z#`*30n3M$KHIA=DfYZvB!Vx0FHeT*l8cCj(3pf z5yuW6`r^j1b!FxMi@~wq`!GiNKH}K_7w35)aO_{R0Fhz%g~PG`xkTmoQ{ve92S+$I zv$N*fI>5*4h#~Xa4#F68XR=0TsMf@fSbeyv_=r_^0%)+{E_grC)nd%NBjgehwADs; zaEQfH%5z%VYIGeyhP25dYG587KjS$z%W(en3(~=_afoIcA94hJcJj?eJ4{E%rv$n0 z!%+qX-4I?Vdu}nekKK$b4WBjs81KFJjv4#WLT~X)} zgk*jVHnve{(7R9lI5WFV zxqAjFoO^~N7I04>8c%WX>)kWfkucN8OTj&30`AG;<}YABIecB|9-uyE?ipm?t$PMV zu$R+4!y19^8R~~_pUdt;JgvAQM+B%GUzsYqhl8GdfvI z9QYvjjBegEidgO$MR~HAuJYbJLv0tiXE;%G&sYyZ-aW&56`!h!V6G)P_YCVpbk8Wt zi|!fBD{{}UUPArHg*f*N6GHclib5jyj5w<|U$0}8adNsY?$8XqBXmJR;7jQ^v(3`o z9n}liZ`Chy&saZ^xH~_8{abI(X1&D=9o_-F{2dxoiw?isFW>7GIPk$Z+pW$qb@cU$9X(7>mI z8QU1a=lyV_-VXPSLccBTij{l2bI)KA;hv#|ATnRKF%wri@19X4l_EPyG?9CTBsAE; z7>#NJxo5xmI&j1^zE=9SRdxlFU zzw?wFd0K*%P~|&^iqT-gBAH@>&jxw1n&Tq(3`K`VtE%){5k!aYQsYj~*cou{849}U z*)w(u@$MPo$9t5BD!6AbJB%C&EmF+PvhsV_?+T{6s9@_Q!|LeTr=oj?7#)Fg&lob+ z4Y-(l25ID;A!2jSuvF)s;o>Ku8C|@&XSjH}XSjHC&rrP2G;+@%iSG!xHo9jh#@sWm zIUkAmd@^Y0VlhNoxo40@I*+rPd&W28JQ+zNZzp+q5|5ir%iJ>@0o^mG#-w-8aPid` zGAxJOGZbg;8AS!qO!v1eK$Ib2ziM7(6^kX&_XSagb|4bY z#$r-sUuPBt9wan;zy~U#1zv3g~=O^OQM(62}SVV1uhZp*wGxq|NA8+w+w`5Rf;t*-m(4NVaqZd)Vl zt2cCkOEtxSWQE!3I8GH;32v*a$B3P`h}8mcTP^+Ey`d#9g4?dslzKy3ui`8zf?{uI zE76|4p+z^5H?#?Pp1q+Tc|@Sc^lh5<3f0U4xNX%hg4^@hJe9nmKYBJ3T=RKxqAv4> z{^42Z^)a|DD$O%;JdC`dIcWFn4b4F?u{X4-j%!IZOTPEs&?iAGzT=EvH%7Ypc;n%A zq2HEvMfO|874_RyV{hpB@8%#<|0c$Q+1SBtj@*J9u~(Pgygw<<^FmnJht5!|MALVJjn6;1 zH+0&{5t|e}+;+`RTo?C--og7l!R-Xwpg||QmBQ_6!_A{}9DWv>oWm)NR&fK}iW9_* zgKv+x!ax59&O_98WB`l$2mJPV!OK)h}=h;`1W^b zB`g-VUJHVmpq!-#Hu0CY6oYh82{&#a{Q;YJ>2oFG{!Xc8f>##XnCPsV&znvBH@}W| z5OxU<(&O1h_Up|ogWn3Q<;_Gk@!NkR!D4tZ+Qg@omEVJODhX|6gMc7iUE3MdUHeo7 z>0~n3&>Bwp!|wruc)@^0MB}Wc-v5Ms zE%9e+5dYOLgm|7WgZO*@Rtrh7xR~t+%9ZH`So_~kDaP8OD%fZb7{r0Ki%hHRna-S7 z`FM~#hw}4n5dYMj@eaZQd8{4J?pYYbKm2nEZlfjd#b^-!wkal7evh?_TJ6u#Ab#>( zwAnry#7~U#ybuQQ>)xuV=><24zs1TCn-o3PR=;V`i(r2wgSfzRi9x&&SBi@x7nBl% z`0D?S8N`QpR#2cXS*PJpjkA&M8|d)SMz|GEgK%jMawp?bZXI8USZqdT5cT7zTf3Wu zJNu%#eO@-yr`GKorSQi95#0trPto9>BYI{KWZUtZ>Eka}?91$nY?6+GSSFMXrnH!r zh-n3W{r5~SQJ9$o*-rcp=+lk{<-2ijA$~T5Ar!*NYcuJ}1Sb_qI^~)-3-8TKWs%V_ zdz4~{=$NO`@!sf`Dup?grmR4UKe}~789s2t60!3TStzdP>Aksz7r0c`5-!CRaXHuU(k%3OabF9V z!PV08RaweXc-Qb1ylE7%T*Hg3C z57AepC@;E(Gq1=s+5c;ZA6cV|H7v=9xHtC_bFy|PhH+$lqzC{2@ z=~e29sDZm98O-2T{UTo#R=lFP>)yl!FTiCdYMX|Trbs~Z^hHw^ZjE?&2qb*2SA^xQnN2xQjQ}aK-yfBiC?}xZJSt&^25! z<{JJle}zOmrveRqRr*PbHpj~o2ubI0c5@Byj`L(hN#3R8HP>)zsnDtY$$gH1uHho^ zuHi18uHh_)T*DP-uHi)mkZX873lL>UGq`GQg^nlKOjW3cxrWCDmUkVsuISaiyx)_rN{sgLp^?9><1r%ysD|t2P!hUgqkv>G z+cqtc=n{Z^22)w`^ItIxJBwt|Q6@8ZhgU3ki4f=z?$8M#Ik2yUW5G+Bpm}RbwY_bj%lp*@X*+Jj7VVcyDxL zO8I_;lmsjm9?B|L$*raxV?aLMEZhF#gH7EIAVPT zWurLzEPAGo!Ubiiz+xzS1!4@!QuVuqvXVk5TO#EI^pZGpWhkqbwT0FZ3(9Uo{`N&U z4`umq15lQi0+gMAdr;i`#Uw-yUspmY^&o??WZx~6r3fwVfU>4{LRs}P*u_{Pb{?+8 ziYo%824xFeDr*Us;)=K&lr7CdpFQ`r0F+fr3(ERd)mx5iYmDkTTm)r{@?QDfm^;Uc zAUYV8a3?&%fP=CMx@pMp2!(hkEB?8vd;!W@!E+MoI88BcGsVQp@1bnGF6z+g+K$<^ zPemx}Dr${j9vx|`6Qj(dgEWG&A~q;%sSe7z_(^CC7jID3#S_Z9c!RQvccls=C`%Gh zIwnF{#RNKp`e*e>%qWp?G)-DTS<*=7adv~UeQ}*=wjcQPqS}Kfd4`m$zp{xiz zly&ihvMi*atl|vH78O8H_B|{>lp&$4DpzF{izV1hRj7tR*|@;+uA>(AT}M#X+PuPm zy7@$vL+O^4hqA6EhEN?rS*rx2W9PhESx)LQPuLQp1}|v3|Sj1 z9)?led5}7!Y42nB#Ya69UaIg8{0``gnFdXVac`mfTnH}_E;6x_4y-P*6zHs_Ox37Z zYIMvOg;^mwW@dD}H@Z%xFsss(ZlrjSs$3J-Zx7 zm*OgcRCVMSvHbgdEdWy0($D=U4z*nbQaepE1gYy0^dQxG6+x;9ijU&3KD6hfIINdY z|8XG>q?(ZD`6!MZ*9a<@zD?6!p_(@UQmy($Ae9vdNOg^+X0Vm}U9Vz-Yd$Yd)HV(I z)&xlX`f*TR45W%mv&;r*Slj@q9JG5rii3k-;-ffBbp)xdU+?Wv9AglR^$WjljCA$! z#)H&Czb);G?6-<5>bJ#5ad2WUfYe2-^>aFkLlVl}j^oiDKCWeE1!eE%D2|W+Al^Y( zB@a^La-W6U@%yfp;LR$D_eo#Jy9tisP=0%H6o=@Z@1r;*wCE^~ubE|;^EeRMmnjq|(^ZpYt0My=Ax#<7#6)lPr%qc~n~<%ms+9;B)~4MK}? zx8pXHc#j};i47dm0;Jvq`)LGH!(IcW`Y^v0R$WN zE>-+wga@+K_%$ecSQM&3$j-U&^71SJ-sD5V?vJ}*W(GIq6{lH9&WA8yP=-J5f(0=; z`G|;)jx0DZqe4m@QZVSoD8bKiDw6nMJ7M8=sf_#SaTio}gkq=S2CwDgclXC#)QV0m zB)hBg^x@Gq)JWyyE|`-bEmd3$Y1ue?dEAAh5Ym=NA*5x??jF*r?aeCWh-G&@4kf~Q zCiwM`b`G0vFOR#Z6E}acT$3@=XLTi{at^M0_3=N7@Q~ITVPB8CC~&E!{=5VSX%$xl z4R-(WKdS{Gty=oIA9o>n5u|mUhLCn18_s?F&w3Rhtq6*byRZ`N`M3+wO?2Fa33;B6 zyO{Fd0v75wRsMVmcgL9okk+bS1Zi1uKw8&WnsE%$UUC!@_UgEc8;>Z4w34a$Cr#;* zcP|I+o{ziWAei{L3sc>*IPRhzV#6$d-5BZWxJtGJ?mTYTJwmWBQO zxQomGGu}a1B@bz}U^r*uXW`u&epiCq*w`;d@7|x7Vq)d@kXG#;>kEH&$6dTHsR7D* z(ssIpO(?r7N3IN(8p1`#U8LeXFNAmRJ%^)|ZgzelKaTPqD~G1LiXZRCG&sFEjmtiG;tt5AfS}j=ZnR5qw~v0fZ>f$8aN^cd zYMCGI>Vu0xw5SB4*OC5!A1>?|Y=NjfXlh<6)l7681rr<0Ve)zN!#(Gl@eaZQc@W(e z%P#VnUC&5wm+9usM1HuZT_M3@crp6ne$EsVE58TPOIU3`if9*B*9O>(DXgONLY!cK z_QO49GAg)_ez=J^&kNy)d-*|X6*q!jI6vH}R*u-D=mitkS=}BJM(}aZgvpb2=xnlOf5E{-h-9)VD+E_ez;qgzuG&ZW+%h_1ezJ+ zprS$H&%zJ)(XR+lu=&vohHc((ce`-6kAAoxd-@p}U5doj@vh7d_nJREQ{>_xDtjJ9 z`U8Hrd?Xid4?M4f&0bbhxL zxJN%!3{pjv_54S(a(jD%AAMU=M zvP<{bFw0*oDRjL&;D-xM5IEpDxHGNF?kX?NKT|*4m7f!^c)t8_AGu%ib+NdZ%Ndj_ z=UtwE@S9>td%zDDoo@g8?A+8$yo1p7(nH!jk<7FA!#!w~1jqbX(K*y{aB3F^VC37& zOp%fD+kZYg_cl{Zto$C*s=R;Bez-sTl^`waxz~QUeQ}-_!VmX7EWm!?hkNtADo1Qm z^pMu|^I`4_XdBT?X`hnYtR}jNURE<9&-2S_3qKvlKtF4 zH{G?@AbI-F_YNd;(C+zVH4cJ_Usf~KJ&TvsZiCn`%U?G}y83vd-i|}U3jMYe7_r|f zuBhJ@zpSQZVSj&F?ZM^o4#FxuPayf1D_-0n`Bi1*|BC_1|FvV^LGs!-&kF&PPhtV0 zHJ^50jn6;%x0%25zkhU)T>7rsJ3AtboY`5I4pxq(gN|Lnf}O$qe2BjVJHfFl9i|XJ zkiP^DWR)$-+|o;dDHHM>_s6OUNOF>LfnPM+kGK^gL6j8YXV(M zWAmMsb!^?gd~gENip_FOtEb*vH!qPm-?vbWZ%?-0)l9mUyAHPG1s8LQMXQDjcBO-! z8l?3^Wh>?G!v_O~ChnQbzPg%S+v4ti$uMa=s0?~Rz*iSpM@u1+Mb!+#?G$)(6C zP)=xI_9HRA2aLQe=0i;0h{@2+VlfKGy;Y&uQqA;tVsDjtM500!JjC5vc&j*3T|1ZB zUT;0u=3wJGT!P-q`I}pd-;&)O20keYhdCRY8D3l(+$TX2W+gLXof|_C8RmJxQNz$dC~*_) z!Ti#cI_h^|YXW&7L*;Akor=%S!bM8mPu_==2K}auILbMV??5oqC#~-NAtHvo;EzL< z74OTq<=xADnWS)ERwCs&?aKgy(O~?2Hv6(+aq~CYmswr+bzepieqTmy+xw5|S0y_v z#T6k{JG{BTRkSler+ha1GOGplWoqf?zAux!J?+b^S52@lvl8ujUnaVV_GKpIdES@x zUlfPkMcViKGOPaH?aSVB(_Zh(R{zi5?aMf5_q;FTAeeYxW~zG@`?C8WHq7!j+L!Uh z@5>7PcJF$7@xF{xdEs0<&8pAo;e{l)rwNUE4xq!EPgf#A)$|7sPja`|yIaj}MjZ%f5GEv@e?&&pvA;TX+KDF)cBU z;aJ10S{sF4X+E>K12`7n%^spIaNQw9Kad*M)0=@*9_PHk$Ct}ibW+{{9GlQY-d2UJ z4}*A=p`-K;;8^z&66wbyZUW~LCmeRCJ$~x?MT%p`J{X57MVfdvIQHYv6%;5`6rHU% zuP|jJO?klhaoyGfIQB(=WB>8}@eV@R_dMa)&n$Xzm72 zgyUO&<=h5Ma2Vd4IL`}#V{f}||G=@WR*u-DSmK29{Nvcs^I|x*Q&!x*wd1gqZ@dh@ zfCb&Sl;c>E0BUc>CCrL)Ej}{w3|gE~whi7EOD4*VV2S%rym!59u>a_CBN1W z2m*_Ca#co%jj@ULrIt;w1x%!NpR`~wcLqPblgTo_Ds?fH!9Eb9pl3+~=j6!Zx2H;- zE6!yDmP4k$YUD&1#%13eIR>G0F1w34;#_!KMvp-W7=X8t*aPOxh-yzbMTXl(MSkMu zf$VxD3WfS|2yd|*+Y{5hn7t^j<+n$|kuMxftM+#wpP1LHJ;>$}4y0wSD%G@NRS{q^O?cqCeAL_&eA4>K(BMQ zB(o>%GsmvU`$(mHT3U!>}%9-3WZ@fGEZ%ASnEw4{vjuMmE z-|^u{Ms=(5b+j(}iGh&^m{@^(Vr_h5pE)kxXO8B5=9sq69KW%yybt~0s(t3@-bap( z^GDyXFRjsWWJ!Z=9X+mR^q8K}m-mbw)iYYtGy1Zg(UU8ExMpW5^gQ}n#UCAEFkBe!jz*`x&fu@G8KK9;& z(GJnqz1lxKdTyxUxg}p+d8QrKN6wV54Mv;Ao< zb6tQOnTz_X!qhe)@YIgX%Kn2prj^UCO7)jVI-U?|xi-uo$Pr6iV`?mFy7reCM*1Bx zLi!H4<5q!SZDBrf1pi|MgXy`cT?Ou=%kxAHM-M?AHFr_s+|*D9z-MZQR?pN`Du(H0 zD7k6DRUEY_*dAg=UtUf{%|IWCj{8PxS-i|eJFwa=*kMmKkhSD;Ak0TjM>3;ln+O$V zhO00{j^C{emPokj!3UNM*7i=nbhX0aKUEPYl80cU62`xhDBq%kGKxB1|-N9 zL*i642!=*!<76({Ts2Dd?w$k#BhhgOxMN*0NL`azc}=3d!ni zVZIHiwa$U3Yv+Lt6YwrJOs~U7gKRRWif84_c8Y1<*jDSaa%L?;eFkjk*B+6FRz^~=GNi8SRnU8d|79kK6){YFZ;{>_=3k@RQuy@jAFo}%wU~NRJ<(=fXe&k z3!C?+eeiuUB)sZGgEsZH2Bcdpzt-hNNzWVeeZNx@WdPS?t9+^QF1QYGAY z0)qWKw({liRjF;<(GP5`8b-gE37-Vpnadn-V6T2)uYO>!o}F60S9h;N1s#v@&7`iC zkI-rEQrMst;590}tYUAkJbW)~9IyqHL?5=xzrlkSt7h;GnxNx8NZJw1moWx2UVnZH zhAno(LF%c@%BS!WL&&rRJ3>(EwV8qZ@F=98h}21yQlEShsdgHF;jNos?7hcSn zpO;`)YFY_*S*cHEsZWsH^2D|WV`6*!%VDL@bm1unC_>Mc7ktAe7PxC|8x?($Py=4bmtv0Pn``b5@Y_Wl?r8%|MpU z)|6LFaKT{lJqsWYgMlo4GRhg&UQI=(GY?e3;`>8}O_PuRKK;@Dn)J+V)Jdivs!eTB zrNadq(!u|x12n|;{MM7xC_)Tl@U~6zsYcQG)9#03q3~t6_y8JiM z_uQyXhySXc6rMkbF?F0DQy0#q%KmQ0xlQLao!|7nruUnD@k~rLwXMOet(dUV;oGWh z^jv5f`RMzgk+h166evzZ%O#%9#D*wwUUk?uSrS476OCI}9v+@KHGEqQUK?8T9m=D0 zrWKQ2f`c|(!o10PK;iBZ=*2U)rUO~tN2jQJgzuEZEteb}zHMsyfvbLT3eqn!=}(*o0Y6*drQ0OcyEVD#ZMCz9 zR>586O3+#9)_a|*085Sk2ju_fh~KrCOT3(kpNtYqN;h+BFq9dqDiram#Ntz4_qvY1 zVdj}#Rjc|tUSBBhGRv=pB41MwS(sGatD?5jq%+%U&pkhy(HEtIT`j?rt-;S)gS#;> zFg0RuuiT8aZhbJ=ow_1EKaOF3%q^(FAOH>DQ%&JnN$QGjJej9$fs#&;)ll$N01hOVd6>;=&~Fah_YYFwfQb+yN8{g^BIVX6E% z#+ibcHbRo+PC4ydsNlr2G`sXigTy7yWa7cGM6Nr`h7Vu-fYOtP+$m4L7qoYtSrAl` z{tKpG9Zz>HXreUiTq7p4UFy3o1#UQM%VhQ=7QKUO->ReijnC+dR-<-ibcSVwlKNaM zXd~OHIl1QF$-*Z{!Lo1g&$s4c-|JK2Hoq(-=;$F=!a8rDsO%MP)WiVd!ujnubP%l ze-E_O@NVB+ER2@}&>=aFwf$0b-k}yu)BgbQg?yX6%pTxB7)HiVK`4pQG9r0Txqi-Q({6EStwE#h;c8sjRisDPIDI<5vWhDH+Mu=WGuNs8? zBggVIOxB?UjJ@H_(Z)Hmv!<16-GZ@n*oLLz7G!>@Wj>EGUn!YL36CsFJdufsDDmCZ z;XANYkPg8kXWks#ka<$?rD@H&OueDp%9L!&J$_i59En-I#-TYwIAG>f+OMg@xHxE zRX~iHoeMP=7A7Ffi)fb2%0Wy(12$&yz<%CwSP!yyE88h|oXuC`femw0-hdJy*p0UA zgbKRTa7GDk; zrYx}xdl3AQ1=k|O9%Q(-G{Z-KA&v`;Y#lhhV!`#`SO<>vr5x9TBmcm?W8)(OERQ;+ zErnV2bwsfKCs!r2{|tiG+?(%MP=(7WZ-n61;Gx#w53Rwj*5JuPt&fE?2|}$u^nkCJ zTOaSVT3-So`S+ae6XUg>jS{uyUVW{y`9HtnMHs9#7;X(7C}eXeOFj#Eje?tFiPQZ+ z{#ZOobN4gYm?LjML=RTQg)um$esE^6@)4Z9flb%k)FYQ-GJHg<*RJ^LqY;{~)mTagXVuqY zz_wqQ4qNM&L_4u$mdPmPigVBRsPX#b^u*PeQqTw9TrV};-!%TS+5My27QZ1meH9c5 zsQmUh$mWi4d3E-&_AjTybBeR+_;NbeTwgc)=dHPq)lW?a&GprpKOB@?^)y&Bf0%4I zKJ$mF_K#%#Fsb7_H0$TjqP|C3gL_+p$6AB&3-foNU|7PsyTH?$Ypt)H&xUv?*xDLA zlMWudFkky-612ZFT!2}oxxO}gOEN1I8l!<1z9V%99| zK)-k_x#}_qznXJSYrsl|scJr>XOA05%|QVYBYZ7xaSxO>HT&a^ACCTFadLVy4qKRZ zFW6ecOX{nCFpuoHDL+3GHMn5#YI0r6xY_-v$N01^5RM#=Oq0{+u>*erbR$Q&d-N1n z)( zI5Rpk9sD8xK9gS;o;hcBKbDN6=W}Rc1A}1$;dhUpIYYhB_p$4HSl(wOujwol^U*WY z*&nx`%9)SL>Q8WdNj3C0KJAeoOv2u4Teg420#hv8syVoyX$z5dZgVhPDCj(%dt!_~ z^o*Yz7@u}9ZbGbU=vWUnT?4(1kKaDJjd~lO_5hZg%$!LbcMlxI+%0+Z-xe3zf^;b3 z?WpSSG3cttr@cnP`&B0lw-rZV099j@7I?;|eGzh@S2W{W-d%;<$8ROk?0%48d_m5_ z#mNV;=Yv%woU9!jhe?{2g8P$4V8FBnlk0=_`f3>n7*ori8T^@ZVSW^yAJCxvuhQXJ z_45`dKYmy}e$J||!S8wXb@=^IJ?5phdC4QHlSj1GB#&5Fmpo$8taR93pT_V%Nm+JG zL7^62KR)gCC~cwii!pEQL;(i;G_dlFs>JxsC>yGP;-eoI(z=*-Pl>+L;n~%jspoX# zIn|#y*j%|{`eD~>32ug%Gu@c`EL%Rj2)m2lw!gQhHN!>CEsm>6;&*8(D7h_31E;j|4un{FK&kUd`#bPC{(ZUO%Tb{C<6pMgyk4*6^D8 zwYVwmE928H*fc5ej@-!&Fil`Arvpq#>8gR&+`Hi5bV+>;dSGktvHH4Jf@Jib)}Xb1 z)`j^i-=yA?T(u5DTf_6}=g{~N+=+#(HTdQDw7-K?cC0#fm)e%xC9lLtX;UOJfQ5pI zGg_-29G~`9#WvStcwx8qSQ;~RGl$vsX81N-m_O<%PQl1@elUjF8)LbZQvynL!-e@} zZ)BUJ*P}z)80e^Fhs2=vQ$^GJuW!oSI6k^#d|LNL&ULo_G@WCk=ccB!TXX-N0OXo| z3m3-)G{;3 zx$2jQOm3Qd^vTKO>aQ_;6;c*sh8j#>wMs0Dn}Vs>K};O^j|Tkmfi z_{0aAlUE&fans{l63-+O9cMNL59dzD`Ln-BUohUZ<=#VY{q^i0FK+63ATcQw+!hR_ z4!yDKN!rqu9Y4~I`N+##oC@mSo~{~8pTflC>W?99aZ~2xw|l5R~;i`P%`^L z;OcbOHmV|~N=)vizn0vkXvQaI_qPlj0usze_m9oKg{yVjwVVdiGoNVsp#r({>X)_* ztR52?9&&HN1e@40a?tP7|Iw7Zs<|V9jW*ZJmvFFWwnLN89NO{gCe7BPFZcPml$zwK zhaf!#ZFMA4Q0V<=i1t~t$1y6KbMG4;Jt{f969kFo+}o=#IkYtHy7-)?>rO-bG#zl+!XZRoNs!3sQLJ<&ABG9Z)s`V@rhr9 zD*(&mLruqTDTw{Vw~;;G5<#g)eu$;i09zBlKyLmf|IQGFk@*SICQ3g6!wca5D~H4`@@=i`THXlW~l^|jPv8dE!?PE8MB z;IO`eNYZD`Vn};MI`g}#jt8~CjJyg0nsbZBn~%S@YU?P#)^YX0L)WQsFr;g5y+3#Q zArL()HTxFywGUm4iSs-?4$WmaY8FGn zUn`qihY_rA!lQ>~%*%~C+FM(4Q{N7TBNwNLtZqOlIaAcMJbrxwB}!&jVbw=N*8g>D z@Qaq<;pVD476&hB4eo3KXvLtO!BH7>BcR~ zj~$)i^uM?{SaWIui#+>!el2_F)LZH4G zi{S^N{(W;E_Z?5*{|t03F(k9o+1TGe*%$XLZhCxc0=<#nDsByKU);3ifkRR6rp&#E zbp3i9%F5hxNK50x$|X0XuWbwT(9&Kh#v!Kl*Ru(|*5!lM?20*}I-- z2{0qIRBdSi1k-f%4y;I+(^qgduI0pDmky@Zw?fwh?zRduddcj^(gC!a&Wue?etzX) z>=Lc@Gl1e+g7NvmPtY)j1Y0xrCOe<3PG+B;oJe46*!?K3=rzg3Teeg;J@)phx@7iV zM5Q+$accGPt7=ZIM$yxcL)C-nnM3KD^ZWqBmIo$tGXw7w#-Yz|25@u3eD*(IBZx-+FImOLfbkw=_LAa8SocFgQQ>+5F%anX$u{zXoux zb>@@l%##NtKYtzz79Ncum>HOy>91=_)*b@hmO}wjAI>d#8tXT5BI&BxAVmjT^=({R zfv~oWBEBlQ>Uen5Wu7jPJK4z{q1@b(S30@rLvIa!2}q0068H~qfj4{RH`CKw@-M-n z)|~t2Dzs=5T;FpY591`FCYT2^{ga!zo_rPJldHdrxw0uU_NwISe?^E3OKSFyw>Q^+ z5VpTd>f6vKTk98T^~gM<@{F|3d?KBB0`+VMLn=%L52-vIN3uLEx8Bow=nXX5-i1goVVSW*VXC%h77X$i!#^C_|b$%c4=f!;-5$9YvpK(J0@`lB?RI zXn-gRLHQ0(uIjL8mM`~_hoJTIGh@l*s?T9AbNVYZB`t$Z;ai;kJ`yjV)8D%z{bA;~ z1rxbx5Y|t)dw6Da z+Txz3?w4kMTh)R+Z)@Y7$kvC%g_3JS)&v~+A9H)&;GW&DPS-_4Zc8vLrceLN?v!9gtEe78~ z%Kji^e>G;cPmgDwz=%4LBkBnZi=!04=z9R!H+9d23J+@P{`52WA0OGkwL>@_C&pvC z+mb(scCE*6ZmoJEJ@W>e`aZy7ZaSkXxoLLG%z>8N`w!Z3Z}tCY?_J=dtnU2(84@s5 z>ckdow01k%D2ak33QD-t3`}4~CJ+fIYHbK4B$1fJWF`T%icJE{j%V~XH~1aHjm{W<4(W+s5U``iEiU%&tB|7u8=OvN%pSf6wuQ=HsM_P(^d%x> zqA8A)zoPHY@(mM4w0?t~KxFJ%??++p%b7{r{t5d-{KNj=LP9sPXi;lk61g4_++Yg% z^cwQ$((6F~>zLF&Mm7(}f0GVktTy9`#9xRcukcoHu42rL)k_$ov8Nw_=?b3&31Vl5 zlZ(7VfD}!J87=7FU&t!0Eq^h&z93ljoNv=AVAP-X0?fV;TPHo7=?tx&@Qsl7{md(Y zT?H^2s`)>BRz4QkHGhnX79e;p`ZzsVqKE7fSQ;xsSV@v!IOh`CM1!Rj4xXnX<%eOW z&}jYAZy<5PQFy*DG=979{_Swj3G?H7;*YP{ni{|6mRIq!-gm-=*NS6vxrB@*3}eB1mvRWMZkWq$nKULA$-1hkju3Bfiy$(ct^juS1aH2O&vC zI@ff@)=={6lE%)`8G(>@4;`2|KfYHjvs~x|?I%M;OYQmx_sQX=?1<7h&LlIpxs}~;1?yQPcTDn zbBA1x0E5Mqy^V41hzHLhPI^PFcSpM3AhEMvhdCHExRGUvJt=y!@BWhlnwP9zzRfrAMaop|b(#zM7Hp}(90!N)el(Og2|XRTA0ir#Pbj@45jo}XqIhH? zYWFLsbD{Dlg5Ir$lzwOE-mC)go6uO{*3)lbHaO~gpm1S) z7kDBJeQP|}l3ZL=ehBcTe`N*%^+~^T$Ph<6o@1EJA}CFkyJneBiPgmjYL0l!-MO@%Y6e^>ny4^WiVE=BZJ9JhL`G84K7sT>vNS(DPxV3 zd89fb?jA~RmUd0CaB@y%g!9Xksz~{qQYTO_F%i@a~>ToEgO^6fo;=cVVf(?c3-FerBFF-b)4% zXylCh$JO}m??61+Rm{tpcZlhDH|Rag^Q-R>^VLHE?@peV4fEgPks9ysgWeRc3qByn zO&l7_W0?gp<9j!V7^WK zY?Fa7@I*4*C{oM$SJc3L2jMT{FyH|(`0sxMW&+q@0A~P|g_!|YO5l3lfNMLW<^`Y? zslfYuTA(3=1iS|gfP(SeO8Y=MY_I@(WWdbTO&=P_{l_qK05)54O@C8qkx!(Bjpa=A zTw`}gNE?1TSbos(bA+aVcZ9x#Fvb{5c@}{MLQ+em7R7**C(sZr_srnaERie61 zP6|Ln=Rre1nhy>AD1C#Glsw66*l_%5y2j0yyaorYpQdNH-_XIo9%kP!c@6%dKTUsu z_<7h!PB{Xbi%dL{o=!FKRJxZu0T!xw-$&^Ktn0)$ea~az(x4(=B$A@RaYxcGunIGi z;&)=Acl#^f!*KlPk@#a~Ly%3G<)LIpA!fD-^_4HJxh;rygD150x4?0yH!^;EsOnG* zZ?fOCq<-`TiGI7ZcQ6NyIh>4^($%eIujW8%I-~|Wnwc4KB5X;hB#}5*8!v-C#ZPmM ziQCx^d^ZQrz~!~^-Oi?tHEGwQuion-m(sfR9 znT+1%9jwPbGSS%>xxKWE*vh8{hhr0Vi?Dc{ts=H5Dxa#2zk+o+l>9+yiWIXSCsBE1 zc}wc9(^!L7Ux^)PZcXL>{tq!*9Uza|RE=4?vv2S+tYH{T)!|?~9p@__C@n@T zSi=HZ5lXBp!|GXDk*Gu0yRmd8+2{BksQxl>u!#?psgB;uG*|5_zqQmaS*ZN>(hBpu zqqLf5OX`mq*)5NlYHzNIEQro9TZYB+%$6Zz4P>6va0j&0Xm(YP#9wYnO@9p&*pVDR z3~cz=8#{BuoYFDT>864cXF7Y1&!xE)mc=l@s0Dg9vVL+=HtimhR*jWBSh^6omVNq7 zhY@Ili91R+1HziYm!cEa^fO%4llVji@Bqel6q)wY>cK6HY^Cq^ZGl@59!R=z2gZGB~2OX}egkLRlm zVy>SxB=z=E$u%~6{5OGQ4dh3AS|eD#;NiC$gE{+|vQQ>MetcCh&qYetsjV_fs{WOA zEvZ%1d*v0XcQbOB5JxO6lzgOgAM@}~8Ndm}A1U2pp25;}m0J)w`akyiHuegm97bps zR3yGXnD~J~@ZAHYdnlp55bt-}R}bdknR+GaXHJxoAIv3J>y>P+<~dM$ho1aJ;`fzq z;2FQeY!pT4(q^vo=9}cg68K`C^ok5)f(|D@J!~=KF zd@A-FEmQ}`r($P6B+1BZ5Y4@d`WQkr5uSIy%iiGWGG-2}1AoXq(`NUPi2nEu@>0qL zOkVbH(~dB#Vv=v**Gx&Ee+QPUffXA6_ob*OY7oVc+v4y6 zDi9qwtS8^9&>2_hLEh8drq3Iw2U%`(C+;TwKX3dk)=J=t0Bp-QFx9la+^;=8&96w; zovK+suYw)u&%ULtS{tWTLKa%xQh)^c5m$Q@hN>R-ef=2}m_#9}%^hZ#bb1fj(m&4X zHAnYz^M9$I3)Ii6u2MHQnh6~!6^_x3YIUQ3T~UE=u$)HvXQJKuc?l)ECOpKF(X`z= z+iRBpwhb?O2H0WpsFQVt$xDhuRkeQKrh`(s`?nM`U=c%sT7)qDyyA~qSvS%XmWV@b z(`PyJZ^{2dMW#W-1A1j812LCSOKK2Xstf2}VRMy@b*1K3b1eC%Dwt@sOTF)N4!a5@ z&Hb{zZ*Ci4zpW-^*9B4mRInxWE;Xj5-X-e%F!Ys9q1X%B8?FZ5EVZe8s&fBPB*`U6 z5ZvZj?m}`YN&1HjIzokAnyGN&62Ik&A^K?tX*c`2!il9NbOgrry^|R%GjYXNH9yx; zUQEzsh!Gf(sG1>Z@sY51XP9*oX1&yDv9wYzbu_Dvohzm7sew-)zoJ@;DPpTRh zw3YiEdhY_)g@3vc0>!98jDY1cnoLh))QZa|)1Oyd|09+a`v$&CF(4?s%+bYUa4MF_ z)-&*MXh~fHB7`vkfJ8Skr7y8CA39Zy#dp7ZR|pG>VEG|SK{Q8rUHQ}yeneZx$Q2<} z^(^rX3~p@1)#y^!}Oj>Zl!{=JO@3Vxt=?zc<>dX13%tC zBii%DeuJN_42klmMh7uIejKvVVygA-Z%NhDFSn<5g}tqwI=J@d)MhjnEG5vwFVi*v zU;jOAr4~_Ff=(LG7&)RDOf67pU{dtxH2Q!eN@h~EE#(^+17^vr5h_pN4ii|$&T%OD z=~+hVeKT;mc!nm5dW0P%sf&Kanz}M@ReYxgTu)y@{c}n^QNKjl3QrW(1~H#q-cma( z(}Axe*z|vlV16!;0ii^QflHGXL-IaD;*Ge#-s(R@fe?+4PWz2^9`)0bq53hZtkBM!1)GN zX}$%5{>R|?2SVk~_*6( z#g^r7j6>13%%q8Gfci|eZ?T)sro6JKVm3Exz0c$ALG_{J6}08(si*m4lH;@+S|GA{)Z=cIXg!j3j zNxu8dS`JpG0$cYN$+6;sDH}so&-LLwh4SlSHQjuaI#D`D_RhA987~>Snw!9zo`Z#2 z?dVC<;6UjXutrLVAFQh!W~&~I9Xc&i^;Ef3{D}6X z|CC;3COz>dVE?gw+s&3`x>eG3cHuiDxh3^|rAdt-*@JK`m^d!5z z(U;+QU{t68f)Oh2|Ddq%+5W;(@6hwLYlq-PD4XuA;c#Xq7bZHE*|SX4H}E@J)n2&h z23j^Kk8QH95SJKEnBpRA4{!R$Lc8pJ_s9bSC{5XCoLRTFsz@?aG zIa~(+3{}AneVh7#oahmK#^&@3k+YC&5da>9Wuo4GCWANo=NAi7#5kj*M2G`uf|#Z# z60fC2+<+qStBdi^L}CpwPJcMLxMH_Qh!yC+sR%xCE3e_if{Gz*7~B#giW|cZyIiu2 zvZbeufKSv^=YkXZ@>NsaXo2Pu;#7z-dYZ+W{-#+(V;3UqVM3BbR+N6vSRc11gu*ix zkiu4Uq8Rg1`YPp)KR$$B!GWwXrYi?Sz8{_wOJ}=tCzeh@0y7=Or=IN!wt|H?wB^nQ z0xafcbu|8#jd!3pV%AklDr`G!xE-}we^QMzQbX8@~=Fnfv6pWanif8qX*PVAi> zO8o1+eBAQoDqSP z5@kC#AM5RQe`xksz_Y>S;8|___u_gp5wDHy)DOs`Uk>ztt;7?($aMA%RRX>9f3EkW zT70Mj{j+eT3eb}L1Nx_waxU`f;&8mCD6qT6G#O?yE;1Vy8T%eJL~-5MoIHG=k?1YU z{lz$zHG++q2;acBsf{s~=zPNn_aAh^EEwN7YgK!FdsS>BxnH z^1?K|?f+>ey#{T}(&n%5CN7=+D6R6GHu)e|{;%@NPoO-&&Q|dy3X1Ci`R;ti-E7Ki z*EHNFIyh4+&u@1A-mFc`WB%U4d-{6l8#FTY9Q0P?^g6LVQOz(}(k=QjvUnxu6BtM_ zm7@5#bno||bNcUCYIY2F?+3dz8{#j%f!DO$jkxI>c!76~Zt##6z-STUD#;1^(?J@O z9;#h~k8qYY4(I+*qO&NNSUNM$X&S>k(k}?{Dda_#M*_qO^nZ|v26xY&DQ*!;tVLVH zqMBG#97)VC3dWxbCnm6?Ir|!>;Vu4421`rpGCh91%&bej@P>N214CDg8#bvw&-i|$ zLE9aE9ghfnWjp6>f~6Ax>T{e%E|bVsY$u*ithB{LKjBy*3#F$>U5cG4r*jqrqV8Qk zXNKdl1U;T2dE1fn4JDzTT7%ONbo$NoLZyo7i2+-_I~7YMf1$t$}5* zU!7T~=Qs_crW1hI!JP@EEh+gs3JY$G00W#sG{8E$6&iN7Dt0qQQH&!e_DA=U4|qd|her5ueSaYl-(LUc;QLCDOm6ge}OtoeHeA zLutD&tn&q&nVZ3g<%g=nK#}-P=KDi7Wp1M%h9=kIz!2Y4`B>h(50q{oRZBZsT5*l% z8gC?dW9b~kha`GrV0k3@6~+Y=U|-;LP;i);I>N4kom$_(w9txN$+4$8-$Oq~&*l5E zpE>FLt}!yxlQ=+VYXm86Vc-;ZL79(6Nk?>@a7dXNSG$$~8p> zN|89n;NS;BrBOiOw8vBZQowY6=@(@>za(H5W%eqoU8VMliR~8OSQ|fgs0kEd0l9C2 zPVQn|(J&{Ze3a7(DHpz(N!`>hjW9VQYU?M1IqR6&2fPr-szb>o)pFjBKVLieQf%VT zEE9inEhma2Rdq#S-#py1B?BRiVfEM&do|1+dhcgL3G63zMLpcULknE+(Ew`wQ7C>H z_4ifV{XDa4N~aImB>_9(9>DVxONy9q3{D^T`sN!-!V@)tE|-=-ZDbPi;_&#PvQ^RJ_DV*~N_ zeu_;C1&=fHoBpOlZ#k36Sh<7Sj5RZ9I^)8rI$)>*IQgxI&ZL%yA!As~ZY6`^U2>({MMGke;HeE+*7M|hc^wUX7 z-3Q@GpG%6(7nnx)tNvM4`Jzmw^=5##$q029w+kV1YnU)8X z)h1d|3aX1QNlrO0RCTcX+}cD1ZgHXb+M-%7vyLd&zoU#(%A>$%=NqSfj=!lZgSP;K z(AL)q&GtCQqKu(~al+DCQiZhd?AHG%l(-<0Sc?`v9GwL_5($N#a=h^Y4FihBs;e*N z%&C#BMS4pj-ZvrecnPpyV@NQ?#b_>Al$eJ*#}FxP?GbTTZGXwpHr?aWz8*!y0n0DmVafY!$!fm7At1h^PHmCQh|Yrp8$)(W>OG*S0!zfUkee`sh(GY-{(;a-OF@l2E<*Z*$h2@Cz>1 zTNc{-YJrGSd=64muf%xq6*1|d`|>cxoG*`#uiWDBmwJ}zD(q7_ZM=^)ffy$?4<~Zx ze_;tY)KDz?cUtPl;2&mla~M8~+jYTJPCbS~C+kt@F9)?2mXI{`TgE4L`=P{H0Lb7v zS-Na2gj3P{inx);&z%om-~F`^>F8&-zJgV6+xR_M-d63w2^Nycor_mpJ$_Ffe$(z4 zuDBVaHmOC;=hgJssIiN$9IrnGedVd&G4|}hCM4?pi5mHsj`8I>u>rV1)t2K7l!B;S zUQ902tjYQO&@-P@{|VGTkY7KyJAvY+SV1VS1^5c}quivxvuyoN&KJ}GjN|u3{YMI; z7v21Y{v+OKb^nnuu|=uBq;|oFpNw+mqyI=z>;grluNg&8QX;&Hk8fdut39dDyG8F; zgsApvKUXtBKJ&DeF;^bv;S3Cyd=o#_(B}4ya%gMUC09BbeeS;-%b*hX>8#>$e^Ogf%a`k6$OFAz< zW9qkL68^;JTM-!4DYN`}yS)H_+EV`lkg(Sey|BBW&^C}-3xwlahIa2RV5@+mB4c8k zgYt=ZBXu%0W~&>zhbZxGRI^~|L|%%;R8#F_lFlbCtxe{MVz4Fg-RZZ%>s%`}WJ|9E zpt-1}G@Py8aNS(m0`>EOE$Q>JCDL=vt7xP8c4$=LVv|mklkkBfH~NKBJh6SgYc0i#l!x(=yBl6Tei?wuj;&QSSw!`i~|Lo7@vd+EP3 zgL6Bxncn6`(w|LB-@&VqcW7K25|b&Bx{};R3JF*3^ld~!^Kh)vcEvOki7zTjf7w*R z1e?)5Flw}CnlyK`FJZwkqh($-;7vY&!R2#dFNYbNeglj2?=_pr8Ou&LhRRg*u;@$r zm&EQaux9u-Qa=GQxq&=v(lkg@4bn-GKGJ`0;@J!Hq?5U^YTILXf!nJ|2FA1-x1GA4 z)byHB)3hqv@ds?4%amsxc??~AT9zqr2|}5EiWK^O)8}p9AH*C)-*xE|@>fnisxbZR z$K;nk&6fXcVzE{7tCmd6 z=5+O$P;wsox`%sCc8{9k%e*f%yEwW4yM3hUx!yAtCntO^{3Is0J-8r@4S5fe+Fj9m zc5U)f&a6Gx_p(@sF&ghXP2<@;>i!9Mzy=^o{4a*?Wj)*b6rA(_n@Mn_>7dL^dG~9u zae$R&ooJiVt*jfTcj%ntKRlu>?hWH5CI*##lMw6?hDuw*sHD1ig4m)e?&$L zS&>75#KM(|o{80t?>cG75PP;`mApcqCD_4R)lbE&Ovw@{fmTMqzg9bm9!lL1k_-g& zp$)0+%%SdLP?iR=z%XP#^N0JtR*dO6SSr+^Ivt<+CT~~(YU2mA`FFPPf#WN&&&vK= z5aUWp45n(h2!4cfTy0fLaqpTi0uCC>YpN!(2$^j-QGa@EqP8w^V_9Jk*(5W)G?0m{ zNL7KG*75NHRz&CIys7+2k0$6ms4#EyzOiT_$5Fgnkpj>6CvBLPlEg@e} zRcoke`TYrBaaC7?#Ri3zpUNF2d$Zt6Uri<>cjuxmQMo*QX2zwIVP;d;v6r69W);Ve zyGIGg$Qzd%`;9sF9Z61o1(bD=F=a+RVEkuUhWW6DQat-jBS4YGph;l`m=V)q3R_ah_)DKnZ>)BBZAxW}25c_$m8C z4IJb2#EmZ&)@oPzNI+b#Mzaf+v4>C`jK7%LORu$$ER=snwcv=9D15R1@aKJ-wE2R= zYVY*^6vviJ`lf_e2M0Y7$p%5T4AfEXanO&ESwB8HAfTD`hEB2_?sRprZ&QrP#jMeP zm}99Y!!G&{pXVDW;w6}DGYwhv;!0COrNRI*;!M|2Px%d2Of|xz6A=3`E9Xg6&vC| z+uYN9_SCUhW(G z3U9|WyD+afy)Vn}eYLITA63m%^5xbm-S!P?*T(HJMI&y}ED&-4Xi^n#@}I*;SR-Xf zqJz2*Ooo%eDBH&azafL&(E)EE^LLfrsq|%~5pH`3#V!7EK5p_5VHp zV^V(pGq5Krg5k8w7G!ZiWUo~gV-S=BOVAv@l7zNmvrZ2MC{@O8<{nb?7b?f7W{e`% zBb^)qKLzepD%HZ?tP`d_D)h016mCl%nr`K81Y?dd5?47KzJ=-Vc&dH3?^<&kHme@2 zMyvugCM*6v$WVa3(>L^&w{stuyHY>qTfXAscX8030-_uK{`U)g1Aorf&8POi?~UDV zo8Vhb4E?R4H^d=WDx&}WqG%j%rSniEG&5{!YR6)oI=z8Hn$68TwLO`8EX~c7Ogp(r z`uF{yLjMj;(%^-xxAZymKZk#h5|s&84Xfp9-~Ges6Zy6fV~#5f6FQ&S%IrlVJAh~l z9T6lnO{ktskvV>+D``Gvy2qDcJqP0KT+L7s|0nww+Ikp`pMz{hzTehYJ~Y+NFPZ&4XW&@~w(S95MrYjHGmZ=;JugHO>#?8+ z=$y=Xo4qaUP~pVoYqa-c2x3{uX z=HP7XEs?4<#j!_Cf5TNj@5L>tqiE=*9KPp++}LBV?^d1AJ+tp@W5}&qSk!%b-#J#H zz#^GAKa`l6IqOIpVv`xSsX5O+W;Bqz?@{u+7^lcpv8bNp@T`zc%>#zPNlIdA8!_6_ zHGKdnOTzTQrI%Snz|uU)PwONsTMi}j{aCBr5u|>Pm@rz9DzSo82_BU? zh$y=K2+W)dRE=D*VXOoU&OP;+moNAR#$l!qF_;M_Dq=83P%1MobZjN_31JOF3RRUZ zi--jOq>Va#Am8B~=XTQ<|iCT&O zfy8p;fB4e8#EmlxYw+AQ8UR9S>cY3=Af`_J3JBpRb8>V6!zcpxdLxOeN@~3y1`^0+ z9llLZGdKN*7x*?_BJnDbJnzNILmvzfHe>cWk19?Us8`I>&r>z_08!VUBW zDUO|_0Yi*sDG@-bvFm(VFDn>z7cC&i>;$>jB7^8Q5Oa$8H8zWEr|^cLaRIt4)X!0} zfqVGmDJmooaH@KH_Y3jAEdaPr}BB32|pkl>H_f?K3q`^B{H=R_m;1mGkn#>b*@|~*-}n0 zhAYzqNPupTDip7`Y-T{N({edi7EknQ^%Bg(7b29HP6MoimedZM1l0Z2epdxLM-|ZJ4%q_2KdBuSHIsASC}L3< z0Ib6TBW!L`4O1zT>+{1R5cuXhGkwV``SON?b~Qi>Olr-d*?)pw-;w3YqSxSf%0i4S zKb2X;N+enL2;bn7Ec)!WP?iPD#4t054A%&|m_)ne2Cp)ob{V^nO@G@q1s{|KHh2+v zoC?4>oey#$mGSg0Zxv2Zn_1UmaWB_kcHma$Vx`4%8rNoJk+VykgrB;00di^ zTR)E)$l3+;5Bq4HRFUJ zo!)JxQ2J8x%I<7BrS7ELCArWEPMp?dLbETg@byOwj);?)RVoZgTngO@Zad5}bx=ii zne-S_UA4y`Ne*Wuu(G-hX*l&|mPP#pWW>Q2K&~(AEW`huDbb2CX!GRfYIPtaO%Ex4xDyc_c#`C(+>O0P`#2p|ajtLEMaogNw#c{f z(|UXODD8t{cv@F&>HE^qWU~2t(L1)oubvNavy)X&+$_CFpKD8c(K~K{JF;lSCyQzm zeJgaUebvj|UmTii)~&R|*!g7RZm1zf)VCsxMFStjs0g}rb6YE!osz!VpZx;BHh3vfN4Lh7H1Sn;`O}8qw zsEmybocN2vYcN+4Ez!-iq74dFylsLeM z5+}hZRD}|^@j~9h59Wh0?7N3z+N9alH)W_IOCQN^;OXdb2A+9&1Mu$kE zt=f3`j5E{2O*X2*k6J8D)={v`-l5e7A2`QkPNLNEOHcy$*Z-9AhEC^|3dT7!pfc04 z@T=uMP+jbrZJ*Ve*9z-a1tf`SY2;b`PUKjiY~aM^skOHW--KI(cbW0d=NfNuAxl^_ za9_DYH^o=pb`I1eeMn@iSFW#8-dHdmB%kvitgNnnPfL97%r-b$P&ROykU^ z$wl=ug*;$QnK%@sMF)Z~4J)U$;V-n~n# zB=v80XNx6$T{+X)~ZBaM7DEQq1I+0irRs z6Ec%dyGs6!?7i$T;y`B7cp}VbL`(4Ej&k`p)nc01)skv|UfVP~jL>j3Q-%kx`B?(I zvC2)kWr+9jx90CEoIY()^2X_$HhN7ag3P2(nPhyX<89UH2F-T)UXI;zQe^G{ZgYzO zG`lzkjOgL=S;c9e$@6F-++nqI7PIOh z;x5y+?k%Y%LiduSq&`Cr z5gye&9DCH$`*`(zBS~gQ&Y(VKPU_Ta)Fd2MlREmVu4v8%1FG6&`7_g4bZo%)DC#np zUq_XXP=of*ze6HV+s+muQ-5x1wDiqJ?;u(doYRb;zlSKTw~bclS5MA0&G-g%B1xjQ z>4{r}tEbrJqxX9$sJ{?b&TYJkgVYktP)@eXz*~)vt$6axp|hcX9IZSM)|D6KZ)GMW z;Y~7Vs(dKfJ{DRy)H_a|`>|&wDVSAZ$inlce)WM0baM41|C!IY?D8g z99S(XP^ZRc4wXwT7Z$91(N2%u)4RhAg`N~`m`x2aYG7EcJOt<#T`{<|s zE=d-iDYVqeG}hrfR79hC)|?9&*hEf?j0O=4S+mAZF_a9B^=_EySzm1VEVOUKjl~(y zx)r=UW1@}!#dL%8$te)fyG&m}b>F5=NScG_X8vw9)JMZBVR)A$r@y9V zG$lCI-t!ch0eebO0>yrT-XRaz*|`o0OfCRoI*qWx_$_HQAeQaY>R=}bY|^S&nLb1v z$P2KLROmSi*o2wL1F3aPoa*AV^)#W5TAHXAqwn2r7OSs+3mzisr&b6IY};X69VBj- zWhQM}rd7?ouRAl7Zs1*X!>Ei@L#=TtBhF6JvrJp`U;SU=R$0geNjU(nYe2SK&U zYqV|lEf}+o+zF9Qk2!Mie!aW2xBI^SE0B%Nm_nR{w5SdB<1!M1_R$kLxTOZ-v}ZvGxim4oykkmsayn0@g3j& zd#c{^$fTKfj!mB3^M7*zNUbXTxr+l75Fe)oQNJ&wmk^4%w@bGS> z<6@xk=PIA#M!k_?ghKCj?k655L2S@_*t?T`GdKwLSczHzIgr24wpp1_G5(^gnC3Of zUI>_3lKKWlNdZJl4-NTh!*R8xEg-jw6nAM&Rf}G&BcDoZ+6Q!Q4Zz(^vj8r}rx-G7 zE|l18!Q|vIu&gDuMsu#YzCH2er^0c3El^Z z($lbx>=gBMzg{^Z#9Az1iqC|{S8&;?D6`2CzQ2)9MRCd?})DQ z97mxeRHQmIq5Wp_bKq(4OOP;?vt7r|<9n3V)K;q-D{7go60>wP(;+SBfqPX5N1>Ir z&$1$fMC2&J?Dz&20z(y9X-FHJ5-q96f1%kY9}|qE?Hg#Pj_~dUCF;5`qS~otmvc@S zw@X!+{B#$_pO(}=6}#C?CBh2R7?%b(Eki_8rG{o21%kp43Nq<7U53g!YvtfJZjFq! zi1_q{hMJC~zWuaC8WVYjAsx3Hi7y%8rtbTvjKNnp`dHRKZ6wu2fdE%Y$KDzGk?LKk z3WVKEQ_> z`7LN6*vW(Cc;qB~@!NFkHr1;|r)!Q>kCy8SgViv?hFZ&+RB?Z=Q}G|0ilg6GBaaFz z>`4CAzNWsf{?h*y8)m}KS5b&*_6@F8-DX(uF2lL4={qy&ag4dbW;T{!-;bCtmw}0W zvpzR411=j!zZ4Ij__*}S-G-=r0cs|9gw13#W((v3PSia{Rp^z|_~kG5=uj@FVI{uZ zR%SHJyG>gT)^0$41+Fe4xbQY-iTQaxI=C|Z74nQ)!e-$j`=P3*w=vDN$>vsjwy25h z7Z_eDoAvFb`{n4qfQsiqzxSY#8oH^05qbegrIQ{lGs4WV^XN7Hh9hza;SyNgx4Oexam^W) zvLI&y^ESI7$2th}SnT>pqR($EnE%F@LrL7wwJwT=PQ49Onke&=M1k7Gg(lN&%7o8> zao>2EJ5%5V7#DPE9{8pn!k@rwghsb%y?wp`RoMsxU-;y+C`2n_(mek)X-MuVjca6#c#iN{9 z=$|A7oQ$(hJ-7TmWoXHOx$o6ThVoZJQo65HmS+L3PU}k7z{(7;PP7eEzDcQ8N;Mx5 zm#&aKq)6=|M-Ui9MMQKot9i)58lYJWa>=oKhRDI9*g_8dDYq6HmDp>*1`M0CNmgNz zD80{Qz11v!$vXG)P052ibSdd!^R&yK%C-9Sr<`~4+BK-6 z50j6SGEVRCvysq8@J-zNmr%=xH0_W#BnaBwBFo9ZP54_FE0wA-R;qpW2B9`^fr{dqx3dCfwC0`k;y5+BEnz95Yt^R)Mt`O2}&neSW8E|MJ|+bJt<3)1c$lH_YU znBj#^YBM)gFA%WmXYb+g&Pmzzn40axUtpim@Yqo5Y$x)M^d#nc=%A`qD(F9{QXTEx zWIeU{1qwS=qetzrC%%nLrTsMG6peltN&SKgm zRx2Rvj`AUP2D9W3ecGo6cXgYC#pIl2_M>N8;u(>3)Y`27_&fCp& z@N$O%Ixlw_Xrs9CZXr(oQX!^=@Ezu6I3c2i@DB`xv%i-I2=&WosY27(%c+k5CxVB7 z#DQl<@~-Vr>bE4&o777@5h|G$AslE`0t>)p_ApoX`s1M@lsW++68Fcu_^F##2!CCP z5ce}IH^Y#Pye>Ts(I6^|J>(PLX@2tZE>kC)0I3-4e+W--enp*ub42ANJgtuzp2x6jAbmY=g!rKs$rU%j&qBHk8VUb04fQ^$;o%H?gt+$g0D=WAl~wqbJo)iL?)Q-ds(6i>5(?(z$cUFfzrFpIMnyI7sy zBa1tt%--}=pk&6$uvQ3MD^W}?-;VL!PgMqLvZ)A%)Vn*)(`vpgsRN=X(2>co66cnh zb!70}|GeQa2Y;d|v=03DIbxEiSNv+fn2MMf1g?x2Q3wGKoO0UOT~om~V}iS#YxW9i ziXpsyJQj>&$^w3-`shX)GI56>Atyi99&U1zHT+`TT>4|!KnI;@4Wf_O@Y{Sr9iW zBAy>tR4UZuheidnc1y!Q(l0arS${X3oiw z6fmTH%1m!o9QM3Dbig%;JY-0VwjEkhwWbEPywZ^yGaqev7s1Y z$4GzIKuEY3iAb3JmF(uv^Xp@s@8o&dyylf@y>Flx-|P6U(6^f49kV<-Ixp3VoM{N! zypSS8L>k#BWFuFQE|DcZZzYGciZtd$)WOjwKXV=}MqbUoJPprj?niWl|KyB2b>Js% zPsydXSiMwNznmO>F*|=;k~lODx@PJzmyaA8dWmlr{^=={vy;qJq+b*za~5M-Th!eD z^5x^)|6+a=+wt)RHn{mA=yA~c2-nH)7ZeigQN`ckmmJxw-yQKDXcW}$iJ5>rd>%7d}Pn)fV2dN^Im{VHoyXP07 z| z`#TJPRDS7I%4}Z5xSx)_&OKM=0uZK-b?r3# zfjvZ)l3!yaURpY|*?|ZCvJPZT{WIu>Kn%=n`A>lF;n?f;;t-cV&?>|sty4v&-FJO| z^nor>n)~!=7~%C}?NZ(Fz6o#*7l)*G5M3WB|5$oa>f(QXFB97OaY6KpmEhC{#>fg| zvGE(Y{7C&o1Sg$q`gQD0Ae8SMpXWiY_O??_Pory*Q{R91`vV020D(V1;13Y^0|fp6 zfj>at4-ohR1pWYlKS1FBZxHZ$Q2+D(dZJC{YfXDw*P8auuJd|ZZG@A@jcFI3s^hDQrniV>up5~70JdLZ@ zdR8^Jdsg*$TBDx!HJ;umS1)^3caz)G)8=VvZ}v2;t|XjKxPUO-)6uxr)3d&VdRBQ> z(@yiXo}Sn$Pjk;|&zhbtPYa=&5Fp+9m4vGZUm;vg_$r}+u#(V7 zSVdS(Xd*Nd)(~0yJV1Dm z@DSl)!oL$9A^e!|DB&lBErhLvZG`QF9fX~PU4-3)J%q;yj}v}M_!;5ngeM5UAnYYP zNqCCzG~pS-vxHv~o+CU@c!BUD;U&Va2)`!$hVU|BAK?|ktAyVYen)tX@H*iQLW+ej~Y z#?{g0Zcj&N6dKak*xk|A(c)?D?(Xa+%bLcv_E>kbr>D8Mxw|d8zM-9$c3W2eFJBzK ztY&F_Akq+CysTzn%~B^R7r(S7z)8Edj%afWt#mgxwtJ#&*ET~gJY7AWjvi=*=h_|` zSnavCt;5r*R@owIZf{g$9WBvTeRniQW8D<(>g;KYw)N7XXt(+aeQW4#UL7@^B_H)S zHC)@-px|BP@#qRW_vwWRh!1#Sa)!^j{Em;Y#2Z4jf{CsmR1&TvTuz7(LWHRn0n}K` zw5G~E^w0es1y&QEm(6B&^4rcjv#_qB(nGl36VsdiyWXugH>hlJ&wo1psjE7h*1JG( zV4&g-JT7&sIto4>Z^0O^f}8F<4Gi_}JWRKOj}6Xlt{-2WsHY5vRNw z-L|~Mx$o{XFI)EYf4cp7PR(lHeRrSPM)O`ivHgz!9su07{%^Hk?Tk(ByYKGPNgDO| z#PN4_|CgrUt^1SO`+r)0!0D~(ci-Kol0LEij{o8Soci5|TlXim=jL;t|DSCig7{yW zKzAU{^M7po|IPOQ$JWof{~iMT-)R5j$f1lvi4%_ZT~vE0qu_JG@xHGhO<|=Ij`w}E zeIf6A6eh^-(9_UFK-6rw&J&A8O?pq;wXya_Ny?-DqRl-f!^h2sGQV4MDAV3@D04>Z zq0ILPUu`>-DZb`V<}8BSo-uATd73@fd9G{dKt6QrA*yvHLDHjyT*O92W&i4o+feq? zQ|ItYH>Nvkh4YT)mPX0R{^oUEogFYZ@iq)Deg3wNY+M^zI%%9gt@o3i=V?4!x}#Iu z+g6>|*tE(st9n+MxL>oQ92lY4Q4B7=_~P+hR&V^hZJhv^w?;RLWRs5{7&A}FY+XI={`?H8=hFo0iCx<@x*{j96Hg}o zlz*c0Sgn4pYVTaV*5BAJ&1F4RcC2Y@p^m0ijZN7v1CLn8+K$dX zW>2aaNlndue`C~tjuU^*ESfR>EaADTGuA=*)@JHVh&O>N!iPJ((< zXLC=-p;CXD z|I7ZVQ?G4YHx*o7y>@D2I|H5TUo@{=HL0F2InPNvo7#HYdejjlE`P;&70x1SzF6{8e5uKKvylP zTNYljxc=%THc=9%CKM31Hn(?8@lS2_JAX}WJzYqlZ9RUaIQ=7^T@F)?f<8A=b6HJ< z0s@{t514Jtm04H929wEF;M8r4QMH!)6lc9pF&H<+L8B=h&=d7b3ais-&)m8tk?{O0 z{Ql++={0^3lx~_=+gy)-O?T(D{%}oAjcL$n&nZTOw%`<}l>4087@cY;9_?u;Q#Ctt zSD4afXhs^hG+DU9pv?T)9De@_zp7r>#eDWKw~al*R84SiV|%Q5iovALSk&Ls)wsI3 zXO_RRqi2f0tLMD)&db5ow2M6=+Gra-Uc0N;uV&PZYcQnHrpfHUb?Q`_XFW`HS0RfF z3KOMQZM18Un5>NN=%vCK>{4gWdKRf`T#hxx-`UZ=-j7{nRVNGAVJno2b*zf5StFun%eoV1V@0&gB5VRqQ|NFLo$|9* zoblz_bKhhFL7l>;LX8kQV}cPzSlf$VrW1eJvYHhje0d(6MF6MvHTE`Zfy`YHj?^p; z)YkY}i%`Nwzf!2xP#iU3OVWQ+|M3dihL2M+(A0zx2@cclU(+nZ5>s!7W7b<^&r~Pc zOt@IPUl?Tg1H{fr^h-vd{r0vVX4k1laA$pDyfTWS(xf!H$x)1L?kG%~lvjR(*A4*n zZIKjo&0D&SO}&k9s2s##_#f3B1npKw(5yMONZKsMWLWni3bt9$qb=@{r@NtgktJHF0t@W$3)+I9PB z;w>B%KeeYb)=mGE)8=!&`&yZD7qr4jw*m)#d`^w7?^4OU`u!$K0CW4{6l1Y9@ZJ`Q%1{fVToc4*pI2l7-^g~^RsJCoOTTp&I2IpGMm#$%bP8CLV&JQ z9`h{BF}mKR>3xPpyRpJ9OSPS$IsLS-&eC(Z?-W1wRexDi^P0w3d$e3DQl#8p7VGF~ zT+?jWvPXQ*mCyL)Yx6z9d7j}sHL=P!&3Vpto>QEs2)5D#&hsqiIoElf?>sMXo->@M zE01Y+PvuW?o)q`iVP9O|cFrE12w`Jb6tI)ZE|E<401smetg} zI-9{@yvdc9U+eV?|;P8vgxCU0g-vMByXj=i_yhKXDw&frjyky z4i~}gLU6zAG8Y;j6=M+6jce{O)^dnaE>u+8qa)s`S@E=;$w`U4xBj+k*w#(YkG3|DlO2WNbfC+GKqCWO;!N>5iVm%gKK z=~bWf2alc?sc8t**VimvRv!%4=YKW?mNhI3*VZ&t9F;)5zOsu{XJG!a@MYm;S2P47 zk?ebIcyYKkko#V|WU-`1@29_@9sW^&!J7F?f;A0RdTxjW>X(gG)IkWiS2h)b4y!?_Q+gguu9GLua0$h zqh=#v^~AcQ{=rDxNJJKT@nUPjv)6FVE{gO{?$Mimw}au@ey@FP%MnX|hymhG+y>+nniWk23@h zJR&HJG$9^sO_~ei<{* zLULn!o8iS`zycbvoLgKMW&cisWfRbDThoSAp#i|CweSS>RqK%!*^H;d&Bzi>$OP?e z@Htc7bc{{Jp0-s?l>v(qdo&MD=S@EK!=>-%@~&@pJ!pMoD>v<#`b%Ai_?`UXpU(2d z8N$`@k^6g)r;L0N_4jp3>jrXcG@zlJ=gh}yh(fg4KiT;-BCx4S{cwZNdDr^NH3M`!; z3NNdfzij!^{IW}z%&)1h&t;nzSu%f7?lZigVPVbUnx*^%K`wHBpuXlf(F>L=Jx;7! z{HX4Q7uPQfES{fVD>^+<%X00|T^e4pG#7JOU};!nGZ#}IUbr|A$$d*1%6-)>S(;a$ z=}LHhE;$eh2lB?gaCu;9Fqb-iNo^hEG`~lIWy_X^=Pl0%#zB_nh3eSe6e?eb+6^8&?(q8tDAudhy{Ui*u8fOTKh@xF!$vatYx0 zC2myT&3bN!gV8uNoOJI1^;##2yIFsoo`!8HXp6eJ4nDERk+XA0gb7*lvk zVR_-jg^S^|_Rpt{|H82q#hzk+HBJFz_;%CspQ4XOBzNSBU;pY*X6Yw{4&oKu^zY`q zyK#E{u@k=d>qD6|@5c)x#4EUIpZ$cqV~JN#KOHfHp7t2~f9`vg=c4`WV-N-jcN2a} z=y~f<=6?}(5QYh52M%TKCmbfsI(R5^1K|;ZWo8YwItMu7JK|dl@os6btgB(ZVR{Wq z^KGxnC;35&nyp}#pHJ-Ya42c!j(tGsu?~zho$b9=g-2{4pUS)X>sh?Fs6AUz0}@XI zng=HL4h(d&j2z+Ckc&5FMaMedgC$QgN0U)`Y&D~E8kG^D%iVub`>xz0;Z@(#7w3%~ z&a5D`5Tb-z2t<3j8#^$eTf4or{>k`eSbNrf|3&ht9{pimB~cy>Sm2R+oUF2t%dXkj zvEJX*%|4Lzs`}6KJGssHB$qlWscBCZ0<|l_-5sAbR5=z_feP`%?QXVfcAG(lXZmWb z_gEgLR#;8u6H<*f>gkH%---!PTMDYa#o&lz`&`r3jo2yCSz?OJu8r%DE|51DbbIvt zM;p7N4Nk9IlZ@lkrE$7;h|_u3xAr~s{Jis&K!yxt=`F`8@qjXkT8QH_Qms~5R! zImwOq;dtGsVt6jP z^vI!Ev+T4v;}*Tr^kZH1T(SB9;(&5aF1U(ftI>A#9y*VnpPnv>3qiln# znguooe8)>_c2OZev8=K@|8thH`ZusF%;;?Yq`%z0YB;lppr^t|PDrgD&Kw=yBJPTo z;f&~ZM>E)k+O?LA(C+S77m8mtTFXL7PK0Suc;f0iC-8o4GmA{M&{2m!@zLX-DkQ+( zmETY8PriRWzcS$j-;Ki=H;lbuICJLBHYo0Ag!i2E!kdOO3X0cr+ATJyF4x>+u}H=M z_*)Eb{ANST&6cV=_YOhLjze}+jSYJj7PJPYoDbLjMA|REJ)9Z-`{B%|?i$XV_MPF( zIp1aOV;v3PX;-7%0y;a6#iM+B5bJ7^_G#&O&rwB%&367=eb}|reuntr2O018ZD_xD zIHT~Zf3czbUxzaa<9{@q+4pb5nHP@}688^h>Zs!~!h5?YPdMeF;mqlT<2_G$csR50 zk>O19k8N1X^Cyqm@X{x6RoW)i=II+hbo{>^aa8^0dTT>kuU z=4!(6p69$UoZ0f?aOS|TYcC~1tQC9>}xQLhJr$f z9?-COc_d=rvf{UQLdlupi~7?M7G0si#Vw|d4JLN`>pia<&kCKx=4-E^50QnmZJ&R)-|BPUycf#_u4RT zc{pMsjB>0LtH9{Z=s0>YBe%McK7%!pz!f#Y@M08}#qxPaM_RIcS>6}ASX~3EOFjg= zo=6gzK>-3ln>l(!$*g5Hz9VDyNb{v$2J;g-$TWHd^S zl*Gy&T#OS*;*8Tzn}Vq{DhIM`b^g_wANf(3jU&6eta)wi>|AT- z^jE%-%+HEPGOzM%;;Hw%eQfzp;LjsFt+NGXl&0$AWU0=}WcR7mXUWlePIR7np}uBueRx^;%9^14=1fZP3j69TYx{9|AiT`JY1@L;?dAytod&!opI&}J^(EQ! zd+LYF2lej4*6okctF`lH^#49~hH&;_H?p}~&z|+LAd^*PLNgv1L%I_EckkK}0 z-`=&?yQ9j_=XBiP*V>6j=ZY)ZSi82d18a5DEPt+XM=Tl@Wkh~Q?52TSX18X!j>)+M z$kydV9rP)ABw26$S;t9P%|1+YR^B|E=FEfiJI#me270=9cZT!r>WA(=!RMWL7YE#R zEPuRcXSC5wz1^3`wFf^Op@93BQ?zV?_1Y+7Da*f-KWBx?OUeA%WuB|TN-w!@sUHZ( zMvcgaR^GB51x14w@^Z3ET4}2c;$dmwd_?xssz3QP=JhNO&vJw?zw5bKJBmzcT)-(H zvkn%Jjx63Elh3#a8t}~WPu0A*>(ABGUAiv0UFm-3>Fz^KbH0@}uX2{ZW+vf+^JihZ zo)x}u`Yi0zv$SrtuhGC+oOZi-t%G1g&+10pL{wJxt8wR!WcHl{Z6-WUxR~!#33^T; zP|taJ^%!jr-MB}GT~H}a|6F^H=<`weQ48da<1~7n(*>+LYF~Dxi!Y+Byl`#RNapBJ zPx>h{M>3lTO7rpEPSE>H#QhBcIaoS#bAxe5?J@ll950$Xl2P#GJ@x)KvurqP&PYb# zgxNM1J4_-Bf%JZm252yZ5`%8 z5u@OL?y`~0m4v?~>?3^X@{!CM!ru~}A72Z2o8TfYQvdNQf7|CoW{F3m`gfmx;WIl49KHhO^vfk2me7d93(1{P*M>6@L zymKUTbnsm}l6i_Szr&{elrm*}E8jPXyPR-hj}5==&OPfHOaFeFH0z`%3JzRY3oEtS&A^fh(3L9=yzlPn+Dt* zH7uGJaB)uu$oi&id$ezCT9k2fOiFBWET6a&V^iaj(uhOL)-puWKXLDWQ?Tld6`yd~ z=xnN$u2<6T%2y3mGS6ZIi&s35_?rGf`i1cL;yhOMO)HyGR$Nw6#-?)XC-$VDcqF(+ zeT=y1!WtEgn2it{vih3-NpI%ivC;lYe<$(N&yE?e>+SFZz2cv=n*;6nV^N!a38_my z&N!BtG>WJ6D+3FQ^QP0)h&w5ff%cj@tM{?eB~==G_L@^WAoS!X?xbXW+jw$vt@m8% zOBqe;mp48(Fczx4bIIIw)NTcEpSuVRJtg zb|o_}BFneNr?9jkUB09n&9oG~+CQLmhtAEp6}`lyG$v^HGM_wZ1al{{y2E-JnS~mw z=U6P40j=6!A>(hXS+*=~>US$wFaP80(!&a-dlH$B5Gw&KWt4XApQKyrGTj?ls8gPl zjCGUl^mP3tg}I+$NwQuf)?Pj@-=cUav)bnLbTKz8>usa-bS6%s?Xj^}{4?WJGcGmb z4XJlxF7=7{q^Gl=ip>%Oy^~BQCQn>$>1{?oVzyK_y}nx9N%;z;UJ0dqh2}XCdAL87 z;h8x(rD*A&|M||$%4TV>(Kn2}L&ivnxxGO`c_i|L+(_gj$_FDKkvkZ9a#2s@qYK03 z|BN2|k16cU|Jd0*P(){UMIN0qWQf;0CU*$-#}p31yD%5E0)9vAC z_ZzNnMze2ontiUfqNVd!%5n6d8s$Yyi>y(*5h53gKKq?yn6I;2(jo1u^#Xvdy?b2r zb-P2X80@F(Rh>*E+2+hcVS%66llM|Rj3z<7^ad~SUi+xc<@<&|t&*fH)|92o8hA{a z|4IHZ%FCYGS7#2BSZo;UE7fbb{y;GW!k5fokbW}HU@h2$Jl|kJJo5)3&=U$%IZ}Mx@ zRK!}u9eFh>w5Ud1S5Tuy71pRNQ)-msow1}~PFW!>K)S3`PnTuuwtwlK=@Cu=fIbhJHr|8DwY_#<=Wtk50hlctV}XZew> zFAH>W6wvzeu2NQ#M!h0hS4Zm`y!dJIFj01}vYDc%9gygoc2D{?@k5>w?L4M*rnh?^ zc^ACi8=IHsliT{dY54TDe7N=L&ucS%*o?xW9PbGEE-%x&GL1AwKDK$&9N%1HWYq!V zbqOFUyL<+VQbek!#bm-x0_)>@anWWDWqdJnG{rN$Niy{<60xqEGcEJ^!i$wxk}T;p z^~yXS=KGs@Zls+Nd(!g>P5)w^FY|sY{lilWYScaRYSa$I1$Wh`k%&EaBS-%)h$oR} zAs!Ze#3l1<)HuYGhy#dgFdK}$I}p5|g%9?+T(>+>qx#<4yr`(CQ6j{A8)D{t&D-mL ze~l8+55K%weZ08?a&PEc$Y1|{d3qdA+@+rzhWT{FO2oT}lZdq8jQf67qkcqOun<2G zaR{utyxpXRaOx4uY^=tXZA-ecbQ5EpUs8`G-$dxANx!Z!Gp?Ij{i)Vod~ey2=fj!> z&0&h4mpXc+%+gY}fXQoKqjl5zh>=p(F3aG-_C$tsqGh6!uHE9N{CH>c#xo@3>?GSy zYj5r^^4WHu%Ve6IV?rf0-+(y=dBqD&WQvdx*5RybXo@sEbEX%PA&P`0)1U6y0$Zyxkzj0o5$ zW4y;N`APiE{O+~LWe=*}VQcK!8#KE4mcX0f*lNXlyf-|b0qY<>uu5tz|709%etL8{ zEwixLZtt|(@y0LVi@5la?SJ{H)!-PZy}qGOS$KYSw&hUtGiTZ}m3nyLB8rhLJ+m3AzAbHaGC#?yqLvex~?V;QHIX$tAevzHVzN3<65W#6z_`<3-(eg2z*IDh__W4L_IoA`f@bGnQz_Vc7CB#nrV)`y`D zWNVszsteDpGzNOvns2F{me^-DSw2X4=oclMP3o$BxS9#=Z1SeSfQwgheLz&VA+r5S zH|@XhXebFk()Ly;&x_-GxQzPQK4dL_6B&&WM=yviQCU5cI2V6p+-N<{Vx|wZb2yaw zQ_G(8N3yogt6v*)T`Q^wz>Em-pHS8 z@P{U?yma~;om!MSJ<`5NSOdNBN!FOh(wFfJ;~g=wW=8$7mw8dNn@*C%t7M+1~LX;Q##U<_NP~17#w%o~j zKHWd?y^@dpdK+W9j>uVVbv$H5qw^uVh)=G(p=nwfswx zR(#Z>Bhu}Nyf@x=L9Oc1s#bl}x>oJJv{n_iwK)2cS~cLZT2&BHtJYp#t3o?ja#0)c zVAon@#63N0l?X9walIu(-X_#cZ4o zC7dzJ;=^Mtie5;XUoPrDVQ2Yh>TF7_>S%-*Vl~$T%_Tky;hD#1hLLa-;X(usMJJ?}Q_6e_B?n{((4x_!RLK;zNW^ zr>wf-3q!h`NUkdqzcJ?ediEryN_5FiLBU7{Ov+K0aA0 z+1~3nOGrr2JBn?q3G8&1-NlyG#9O12SZbs9b6Ps=mzEvXwqnFMTf#2d#z9)=Ii!tF zvn-^hvZI>s+?I;v;rfiyq+ug1MSLorB~l8$e6kk7 zTc&zFe3N35tR{kFjFi8qeBoGMKchM6f<>)qDOO2ZnhrZ-M?3Q;HgW7|@^yq&fkf4) zuwy4kIoU<6zu%ggCyK_&6rDznhbJUO$r=aSbFFM_fvxWLW_z6)ayTI=DcQ(lDTU#d zg4~lb_bGhovA4MU)hS71{Utm?RB5q}GLIkLD8%^TzSQyR$G!p`0t@S7C&b3c8Dq9O z&6#KHKK7>yMWZyCJ^b3CeU^bQc9J?@fQcM)=2!5o-Wi5`yZ0F;C0pkLXg`zE;`nOf zv@5TwChBrng6}C7g)eMTNNv}i=#rB?+P*X<$KBeYU68NYQarv0 zi-td7>&OMCp|vkvJhWnCcB+exKlV;tpKo$!fHU#drujy3V~q*f;R`P%mLnkik8?^= zQkpLxQh9)Q_|AIfE&WDMy`|--6}a-n3VGv)A(ee0jq+DC5@MSPiNg`~X?8j%&TbRg z;-Box5_`YDKUnKD0_tnL)gGiJC0P%N)KGi3zV=u$h^EGB)W^zfhOa4>J^wx*$8uOt zqj+-yMU(ar9iMgNjni!{leZfTlYhSMVSF5Ignq=Mr1g@@=X+kXjuZ|}BK4xN(r3$b zj!^dZU&~2jgIo!&iR|#7?q2R_cZxg9UE;pSz1aPf`w#AI?ho8wyMJ`o zyMqHS4eT7)H*hFB|1$%N0%ryODsWlgvw<4}w+HSC{3`HRU|rw^LG6RO2KD6>fP|o1 zgQf=E8T4S#il7&Qwgmkp=#!xDgMJRWq{VeD`nQN}k=7!!#hez4TKu-fi!I)0@wXO# zZ}G1dfi2s$^tSBNa$w7mEyuUaZCTp#zLt--e7fa^mT$M*)AC@;lPy)t4vju^`^N|R zKo2R6R6QC<88Ob1*xj&CA>E5s42w#QkERxKhKQv$U96>|)6G^Kt(J`^f zQiE+xNt$0N9Vpv7Mp%ZrJJnKOCu*tm+9H3y>ClXkCpJ+=O}3`5_ta`SEZs?Ih20hD z&e^Hqi>cMEn5=SiGTOFWl0tKw;7H@>*wMpi>m|9S^|loZFZ|*7oW?#K>~zTAe8 z?3(1_7A#kV>o=}vXhF7fPRLj6y{mTx1+)vehOg=D!)-SgcKxtF=0p%r<<{b%ry`fg>M>w2NC$q}ufnu|gF=+V~Ws}*`cX~}2+?I5HlOO1}>93C-Ah#l@TNno%| z7U}L!o5iIXNAl*Tqou!hMPbt_8F`$_^xpRD|HLT&GNPR7ZPhot*ggnyP{(+!Y z^12c~!IE+EUdpW|`zbvCFiu7&mK}$t$-k7?krab0{}n6N=*)zx_v=b#TShWc^BalE zGy~e)pCc*pBjS8HXNGiQ#~!BH8I;Od*Q`C&gB@+EM`0%0%-BksFwQa&wuf1k_HaA- z5`PmldkdgX$*4;#JL76vEYTm!pr5*JNg2!g>j)qJjVDO$aP0;mfLVd7U16MQ8bkXu z*)`QQ*Y$wwQP*nM%dV|@gmaAc>4Jc^0apd|2)H>QK45%60WH+rfCmDe2v`%aKH#l@ z_Za7V6Y$S~p96y37rVXg?(Tl>Sa-7fHun^Fx%)o%!|s*tSKaTr_qh)<+WFbtHt>qT zZhg z>^#;AX?=80XQ}AF6In+eo0z24EN5?|k~O}%AJ(RJ@9OhQw+;H(T5W7z9RQ-V4mZWwXKjbyg*5(;cw59lK zWHL5qAGQ<#%dL}Ac@t!|$f%un^%G*loNHe2|)&0CtF{|S9Rd>|#hGiS_ zHlg=}`z-J@`wu(wS(0}yPP>bjL>tXyTf*?dl$(77o;*t0&{z7>RO)lkw6;|Zn&hLS zE{Qa0_;9*D%Q`RK{(2(&DzrA!9qjd&AtDhrI>YHqVp5xy5mu>iK1=p1s84MV)r=py zq4gQ+*HLXGh3E@CIgW=zYg2ISK~A#Dmzp8&J_T9qlgrGNU%?|M zqn}0^K80jBLo)3j3;Saed?pMy?cUj`B@-votNZn2#Y!V}61yav9{H>$`D06vre%{? zd}p~yN28rIEhl+DzLHZ$`?+FWDV#4+kQ zAmyinw8pX45YCc2&-S!*tdZ+jg5WW-wDjmQhUU&v${@pGNPo;`F3U(78%t*Qz?MR{ zVl-K{k$!2myjI``k=RsY}zA>QpnuBx#X?O0}d?;VhXRTgFEF677&!`@4GB z^BehG2Q;5E441o}rv$gVK5*@K9p(+?+&^FbNrNK_YeSjH?CoThrbFT1)+R=@B4)#z zgc9KCcwNNc(JuK87o#^Gj%7u*@9VH*JG9tHE-jpvSvp5sVJTDTbZ#BAmQl+04zn&< z)*qkiCq6sYy366qd=YB26CDXSJkZ&z@0^EUp~~iv%WK^Gdp5B%SwK+*f~s8vQS4-7L=1LHW-@ zrfxa6fkf%=f3b2y)3rJ%@&8JMtUGkxvnu*hE{)eCqS53hb(hN@*!`gwEVGDPS7Yn! z@YI;$)=DvXUL=Bjd-Z8zVh)0|ZMd2-=G;tctF*BrQIPn_ej}l*Clp?X$j&V)lEvc# zS*S>TWT&CEx>C%|z5-b-*K+WO!dY!vD-OwxfWm~XM@?;Gi`DVvA-4_pU zcb(@tEb-#{bB6C~U2>ugH5j4fXB^{WOH>df^YyD;FfO zS;CGY_eaa#30-;hgJ^l)xPnCVX{w%kmd0$qj$T46W#80YGge3xKWBc8lW6E|M`_v% z$(FOyXE{5syNTy)6K6Xw`h9Hk zzBX^L+23Yw$O%+Ad4u%-@Ekg68577)j;6w360OOgd6d+kd0hE1=r%U1`EI7N?9n5c zmf4G`wP0Bq8r^tU&9iy+z=gysT)VXXiMl!AuyS6hxRo`y#t)I3HM!??Xd4>$@@ge= z;eYF1%A*BB#t*;rCt*r?klEoTA30^#diptBR;s#bW9&QR=t!gykVe7Yf0C1@J4L$= z=>^-quCbecUzfCZCZA0F#GVYdyt1oM-(fhNTjec#(vOLKX}<<~`NvPxl0S0BsDCdM zkAn$NEb$Y2(%$9L@ll8UR%N(mx1GkGY<+qCyIS?H9~z6$qqXXUL;u0=8=E(^|DUME z@BhTU)9wJ=_B~ds-f2eMhu)J-^xs519ub8Q`7aUEu-BabqW8-GL*oDK@Hr+bH%B^X z4oAz+6_M_^^~9X*BDKh>Z)Q9%y*E{x!W9)$-qr%VZoa_1pBj@?OD4a1Ku7SZO(9#y-5uoJK4yI1g>9|CDgcy&iY# zH!Zmm#~0Jv{4urc$@oRTk;$OVXRo{@r@RosNw^&UTQI#Sr(8B|Gl-poWZHCgZkCr# z&7DLJczz_#thzcx=dSW`WI=>B)!tM3C+G+8Be|Ds#8UX z1@1caD#8^|r$!*b5;)SZYm7uKm` zh=^8oY6@Zv;vk|UW{C)Wq>S_Ji(w*=&*3piDa?$F;5(Z!<$zDV zwv9_lNMlCC{w61jrewl~=@a?bA#=mA`b=@QvC1)L_TkOR_i28mOf= zsx^`drycV?AfWYi_LiZ?d3 z>KOOl&dSZ0D}J_Jhe%*``* z#nc*eZb)Q(Au~X%;L!OXMq&8tH{|}$QmE#GTJ|Nim5@ ztm9HLs)u}cm;MDUK9+Br4z^Nsd zanj&G*CYDVNyt+weG7&!@Jfg5lOblgcEGJP|s1NGh^CIdnm1R zW~rGxAzTUH2${dx+^tTW=`V*fD!*>5Z+3v=kE~;=^i8Z|?TMz8@QO12mR{Q!#CEciSRk>FF;T1<@B#pU!yPbYY=+h zB-u8VBV9`T3VV9sERFQ6={a-!y4IYwU!7T+UBJ8mr+sidA;*?UflQV2_2n2YzlQ7s zU_4Y@?$^pJFE1_RFg}MfpO$r!e=~ONll~>2n=@s?+}r@+H`aSAGMzzN6B*M>{dTOg z5&dd&%d}rdpYiBd$~%`|C1Wzb${s@bHMqYo(~%jb*Y#V_M~(WGg?ZMr9+NG8&1^Zr zNPFE#n~A1obd9|xr6)akdR8C5r&=R-KvRty`Zm!i>EEx34kwT_(b12LUynN+wC_!{ zwVrjRkd&gc9JLX5aLe2t$Clfc&i1jXm9uSFPW}2;MD)>cO*9*qqqgUJQvFsZ6Yw_)+WIDTq{JPgk`_r_4 zHt+Z5J;ls7nt4|<4{G{((@&fEP;)XJ8a zYeJneq8hn~FPTL> zK*Y|VTo6L1$V4sTE`-=Cm`PbW#8LD`Z76m`-XFaLM1@0`u$(-B`D=()xDz4j6^OkE zvDeiWA|Hlux|MK@xE(ViW+9g&Am!fAUNLeIbd(%>&!yB%u*%n7$tS-`zH{oI7<*H= z#UPFMPfk6ek>`!f`aUE1>zp@5sehs`<8R|N-=*ZVdVPtGKM2cibN_wgH0BJ<-M<6o z)F~114vM^|ttWCLoc4^nt8I6NqAzmqBzw4Vn|G2mqCg@;31E*Mkm+6#lKODdp`k3y z^Qkybf=kgj0wNcE=^%Y3sI5L7iJmdB&vE8tX6tBY`<&+?-buP=7k~BVjp-aNImz_U zw~b0oN{F0gfXxfgNah#bq5jP@Cdo1HcQJeV{Gp{1!p-D8PzZNIn8G|WW* z37aDSaY3EB6!FR3wAqNZh!n&w>|})Zu7b`6pe86*WgNOF1*FAlkS z9=XXq=hE78t5+_cob+Ynjc)1|f91P{JU_ESh0!bkpa(aSY3}5{?41=1{d)5|)e$j0HYb%1j(HBj$89`TpLmo7gkY ziy4oIo^j{9_p30USX<@Nvv;^Lru!i`iYQ7kHZwlPRQ5{q1m}}vj{4=|%Fva{ZA% zzdcf~U!Jh#&%b8;lQ{VD*S=3kvQ9g8&SN?K@nxkicJ%N1Dak6zES{?Cp&2)t`5l?> zm9jMLnRzcZ^;Ev&h`EdxgxA>&wb>1tjB8vzcCkCmt-@$@+oiKube;FtL32c?e#_P; z(nfW~X zs%uES`fK}oMfwYirst>6;+03_o$FOT6uF4lP)mf;k}}uN@nAEkU!gNfEH$pJ@-fem7xm&B z>XnH5d)KRrBk%_y^1mTo>{G9P+qYgBchW9MIn$NOje&F!W#UDnAJrzE*+qfu%O9ZC zviKJbnY|won{q>6QRt2J{rhQi_I9Derb@jQ*=X?rASuOZz>KD7H3}~v_~OgE_8AZ} zM%O;}cSykIrZ-X8SHX$YEPxI0TrN$T%iG8&OCj~4D}slMyU$p->AQ|s-z7FP+dj+8 z8q53F%^9!8PKf9;aeP8tb7On4b9VcXS7sehA0Cd3l^gs&yJgUt%{31aU(+tgygtvj zcw<&*oWETt_6|=?vl<5R=O)B(V$cf1IiCVP=H(HbNRV$FFI5W@n9)mNl9WFZsFISt%SMH@=j2 zj?_8D*#(w5skms4txV)pz0|^K^8S~d6(!xVUgngY6lo!o(Dm_4UR;pawoRo*n z8AbX9(YD~%(D`iUhfIHM-dD_eH1k}W@7Yox?w(Pv8p`UG&?#;xuUAtLPb2mr0x<81 z7>k%$QaF|Y84r1G4sz*Xfh>Cj!?~l^mZlcY)jFgBg9NN6PDc`xn`c{)bSKjp7s_Hz z%VfA^S!QZ7OVJufS3O-MqjhVABr&&~|H%y61?IR)(vUxBE(~er){~Y3vD4jaQ-KY zb}fl4PqkJw^Rms-kMcQII%Gch#`|e&E9#X{#B7J$=s9`&Im{Q?`p?3z@#i-W{Cjae zd*?GdpWnaKU32zsb;McBCHt5L<=|@ib1N(5L!fiDuP;NTe6B$VWg(`+zEuv^mv-^W zb$Tg%7UJp0dHx*oh-H?ZajVDRQU~s|x|>0FNo8nc$~xteLc5yMvG~K)ZnY`xVVJh1 zp8;)&IGpW^v1dIc|K6VU9Q}KH){}N_dpv^}hWYB~G`qi$Dr|ko)ya_O6nkgK+kSYP zGm>YxSvS_B-mH;%{?9fv57D&YdLC8^+A44Jeqr8s&3mrA-%5Qj@5$!K&VJ6Cx95A#&W^g{*=g*y(X-Ro$noqnHfZ$hG&XAT>^Kb1dUoWw z5HWUby&C@<;{n78M4#vDRXO5yMC%voRTAP8#HHAK6t>5XX#>i6ymj+9t(;zZkW<^y zMiU4>2}|B{u;gXzSfu~S#Q|Pv8}%{MqOEm|efd5rp7H`M_Y#(-XJ>i4-&xAC&gsR2 zSU#3Dh5r(XL1)?Xce$2z*HxPt2W+TULZ`^sSg%Cv+ElMPBmVwsy}AT@(FiMSR&M6% zkSEFOejfASe44Nz7DSd#RAkD^bol8rWy(NqSkv*hx}J1%%%qn+nQ|2hvQb}Rs_s^o~Oy-VDj<;td9QLe`CTZ)p*&#?+Qj}$KRi3=ZYWq_D zJrQ=S&hk>sWqw*_<3uiFEg9#@7*E=FnH!Svy}T>Qdy~8yN&WC5LJ?sI^PRk@+v_n) zLZl+n5R(x*5$6g=-YevtLBf-{DS5w;aOM3?{Fa}*cM2nVHGbi2`o7upeY86h#@2N@ zOrw?Yok1e!_=&lcQ4?*S4T-b;9O+h%Pt2U{Szai20YxRpyJndeB%h1MlC$S8`2Km$ zTZOGWl5`LGs$N}=2tx!SW+PH;=0f~+?mN^s)BG>*75?@p{j5W@`$xTMDrzx*^9SC8 zk6C7$QHP)wdv~KZ1R?2{83pTmOSqOx4pHw#yHUm0(+|zg$BNa)^DfVvqMbwpR@SQ? zh%pH9SISH3aBNbH+>Pl?Lg9W*^q)dj%#=di2Rs5H_E#)QQmi3Y?Z~i;k#9Zbd?EQ^0IbWC~7JFsW zl5!86H1*tA(^N~`$-Ks>HVsO|@b(Srsdj$xF>(>2U*l;|pI&SUQD2LGfAmCt%OwqJ zGeWP6wm${yuL$@pKM6;k{i==)N+E(TZ%`K_u0ULeu;T6e(x~?cet2brsy&bR#ulPZLG(w6d=vujT~-~ja#cUnj-K5+m8v6_ z_(^=OMI6T6oUjJ9s#k+*8Q!3NjcD(XJN=WY#4YRoy_`SJXMS{)N;5Tr>&Bpzlxob;{Mcdh)n&Ib70?Jr*gy!mqrOS;+juUKgvj6dRU zZ-m6L*#zRM1XoQz1V|d?S5%lKtNIwk^eUU~l3|K`-}VoFyxqE#b!+7^^Bjl0HMTs)p=aDJMNgkq!;@E5K3+a!l&0pE z%bYvc=oB#{pWFTVF!@H5uJ1xlNtY9%IDb7sR~WXiGiuE7+LyM=K$3xH7)urSHdvhB zi@MaMtV^~CEzuR4Bch@bygJk{S>9-GTw`p&wxaCr*UJhNUu0sZ70TR_`P}04`oHj} zv{2%kpgX#A_?kPDb!;v^n#=u!F81N@{zRs@BzpRv?9K7%<-6oA#G*M7Hv72bWs>t= z3PrFPLNL8l{__edh>(AMX!tRcFT$=_7|Z%3R~a6&r(6f3eX%-bS5{#;tq7M#NUU6C z1=tk{8yoY5S#!#BSrf_?gW5>P)B5h~D$gvN>dNCi+A8+PRl5rY8{clP)z#% z8QJBuMY1F@yNCtNI_af(yy%hm?5Vt7xM&3ONiqjKur0v3Pr%ZVHX@fAkZUP@;c4sE zDp7|;LeK_!O`z?lA~;+n!){* z`FzOYb=oRbpN3?uPhsV;PbqopQ}}Y-r(?3;r=iQCJTsr2tyB>m4f|`S69yi<6UWecaUq7Frja^0bo$VzuT38OPZpqc0JwoyOFaD5H6Pzq>kT}e+ zV`9gm$!Y>i_wddJ^(A6AVkhEHh)sx>5icNCAeJH?Ml3)yAWkEWB4!~<5E+Q^h-Ac2 z#7&3@gcm`{%qW>^7fgQc^l4t65?U|$M&vEcW{$p#l#mP`h&G)vdvddzwR2sUq8Y7R zJU!F%@+=3w(kRUysJ-dZt55%YSE&YRZ{@m>$4iX7*^Ifhqzi2$#$`qGfq^;n}WS75#r!vk=*cB*ZO<9*7PIH{!&NKdUbg?;%yHWQ}P{Qrb}FkzNO{;WP9)L5i9qu12VMBJQD zG_~(kKlKazciR77$bZ#Lm>-k=?-5S_>zk;ZW+HFf#GmVI^-VTM*?a{Sj&J|#R&Tc$ zDsxE}F-n&?3HiNwVC$71LNhPJ9f@pI)CaxkR}8k~>5JTbHwCkwp}Zj1E0=xyhrKfD zupN0vvkH~{4S5_u#%WMx+{ihH)wr_h*(}-0)GJ5zRukVllDs#_i?z^vFOv5!YY?41 zqf`&3WoFLSD$6a(>&1mgJF^!fc%15=btaljh0?ck-|_6+>>1Y0-7+fo z?uWfj z2cs-rb@;L`{x^y!gBxNjXQ52>lAp4VMbAH2(RJB&tx*=~=47wttl75xc=ydSY8&D; z#LI~15vvf(5XRQBhzn+gWqMA$G3LT2FW)ikf=4Q+l-&4S_7BfKp0ld&!Y@5*wj7vq z^$(vMTKM_iCweBlcHvv|UY&nI_?GW~bJg2Ra@xIq)lc5_Q{wLUd{TNx{xcsP35*=EFKE=y_qW+Qb#trd>eg<)v1s{*VV}PL=9fcjx32qp z(f+-}Kyz4;M!7&TQe73Vg=AO4VS8lrYx*Z$GJ^A<>AGUq& ztu3pzxVr~0Y>~d<^VaW%{&3N&znV9__r(bX6MlbH=AQcGsFcYGPJ)w_3j zk9ME@_~8Ao-f_+5*IGVzd7f1)evr++@)vgpp|0|FCCE#usJbK{4N66?j8>LaUeFpV#KA$U9mj zzZB)})~?+}+aBocO-MSr}p6O%isU}`p(PutlzZuv-d6= zwDHz^+HC4|%jCCS|3lFm+r9T~`R8jJ-(J!B@N0o9_P$zv^N3H5y)tX>Z!hioWzc;c z4wQvll>PULTXL2To-(b?+KE%eQ<2KLXG8x-~DA2Yrl`*r@>9~T$*nX|2M_@a%Of4kzoysfMEPVdtHa6!uBvs&*8 z9C6W`f9&Ay+`DUwvDg_>Jxk0e3o`! zP~tlq18>gkn*Ty|hm1X=M-;|?IjeZ^$SEJad|=|IpXXil_0W^I?7u1X<*hINW!0Mp z@BVPZh#%DEh)zGf_0~i0yz$qF$2O)u|LmsF7XA0U@mR~xKDd7T!L+yYKfkWm+`Nrj zMrQ7CUs-V5(w@_M+_deYO;0aw{pTycZZYxUAKl@u;C8{m!5xExg4+kT4(=4}4h{)! z6?{c-%is>dp5Uv4S+5#=VesX_ErKr%ZWDY}aA5Ey!50Nz8GJ$TWx;KOuL=JD-T!|) z|Jo#b+Ic#*ZP%v66XA&n32u|&@p{^YxI7CyLp{kML2V{@I(l3o?c2=u4DbvMY2Bv4 z)7|3@3HB`X#CbA8+-=f4?LD2^hO{a7^z#e|Y1Jmj6YA+066C4y#CRrzv}`lk)5#MY z(xJ^fPoyU<#M7q86Xxk2(%Q4gli(=`322kz3GrOf_To0Bp1z)bAs4pE@^tpJ4{>|$ z^$hc*g|uih(Q}0-DCE*Mb3Fq+F(GZ*O!4&agod>8JmeYa$q5N;GtSe&b9LKG+RXIy z_e6$V)F#i<)zc}YrRM?9aL?qB3)Y^kU-CUo@mcF(m%;_rKct7zteMz zXE^Df>gnm}8gh~6*PcpL&-ZlmTpeYf+Z0Z^|KXY|UNQCO4{UYO$=kvP^RI-J16XjDdRu3pnia$LP< zl$G{oaNAq!_x3sH?XqIhyQvLX`JGvAo2KJYu90VEWtHa6G%7t9EHf&`fv#S29ceQP z%LCiQ_+TrI7q(LT;1uB!*R&bM0;U4=1ihED#Jc3TV#Y_M3}@`hPPaT>CR~k%$6QdX zXCKNKEV~k7hxO?@z$-_8iA@H)Oh@(amtKw^>2lkPtYR!_JX~$$n-!G29=HmNXL4=@ zrw8f87wXmJ%)92~x~$kUF3w|BbW_jRtS2cyhpA&+Zv|yW_Esp(x-#Q#JsIaccM@%T zoEIhUGDM7T(_|xOJvBPwwsC~zoGg>h^Sd)+bYowyXR*(BBN#F`DlJ`>pQLLO-&rQc zotfaYUM4uts+sH)yUYwSH_U7;?>;&w?J!JEneeS6wx^fQvEM<6gVVY_`^txTKH!w% z?|^_1J|Cqn`fmKNCB?Kcnu(&BO5DxgCq0jz67I z?N0vZV(Y*BZeGEU`TwOq=L`GSgb@_1)UhC?#=`v14hDdI2n$B^I#@i3Gakk z;p6ZCd<8bZe!FphBkMI_B%A^#!y32%zVRX9!H?h$coH6g^Y@%m!E7WP`q3#B2CHBK zJhJzcDuR1IKBX4HzwA4u*1;{GoKm~s^Piql$KjKool>pYsC0Ng?qSLoxQ8RY#67$n zE`oQ#b?~ACr_>(!0jz{aVEX~A4g2bp>IZ)VC&1K$q#NeKm2e*13R``BN*#i`V9?Dj zS1k;MZyh?N;^3Eu2^Y>ka!M_MSA2U)ZGzv!{qVl;$S;`u{VC<;Xz}AP2LAErDOCWk z{ek$x9Vdt{%&#Q9aAY0nh2gGB)%q5fYfpAvAu8~$=bNSxQE~0hyb` zC)P*qf{}3I&6O$xb{bfzDqs#=3;#U0QtgDDqbt=>7z2a(px$LfrRomXjjU90@ZrQt zRRG(kRH}vW+p)NZuic7!=$c5p;g7cw?;)J!l1aQ_%PiszKg%WFaBU&!hCjje@SACs zY7g9VN2QY8yEU-gP?zid8I>vmu9#D)lHn0p4nMxDQZ0q=+(WwIF}M$oy_a;u?XXi6 z<$GVH8Vai_Dpd}Qyq|c(ik0Ly{4LxC*TUm)BWyj4HHWK+H{9|J@rM6=mUzR*)(~&F z4z7b8p2I!779NM2U~86gT=zWg;ZraHUh@L(Ve(qs!=e`})fV{BOO@&fd<_Q0xLn`8 zLVDrk^_40Owtlrz&4%~FmGA`I4s$l(4t@cHSzD64k?`P(&EylD_!{{HuXw#uEr$tk z3!De{!@Mn(ssX+MI}OJl7zrETWH|B-@(E_awQw2S2{*u_aNC=ta|G#li}=Elw}~&D z2g_mQ_DZz|F8x!b+5r#2BXGw%#5WH0-zrr<_}T}!gBg1()dKiXCHV@ER#BdCVNIoS z#k*X~emcE z;HJw@t3@#7iqmQftb+UD%BxSS2Dlq`V(mr)jD#z$Ijttc0p8PU9?XL);Uc&dz6=k* z1F!*}ft^?x>b(~Cun1ZCC%VAw46gMeSzzTQeWWGVYr7=qHzyjf(PIs*Z_k@omSn)((l1IxCa)%r3uti`21+< zDck|~!;EC&4f~HF+;R8=hr)YPDQCDGR=_L9QqJ&GxB~`{qnu&Ec=BsJ4g<@Ppf@!2dsoacM|W( z)OXkqZh&d<7%Yd2?mDfOL+^az4Kv^YxEeOVcVVa7$ltq3H!OgYq30gzHCzMNz`bw> z47rzbf&*dD?X=G@6dr~#a76|61NOV0c*7jH4kj+7e!%^(0lFTd9Z4tsa41ZM8L%8K zfGgo@nDcAe5x5Q>g5HM-H-qN`hQjSI2A+U9@U_LK)j}AvgmB^VN69aE3|7L($H=ct z?88WSbSduO!N-X&+`H_w+63Qyf_#EomlIwV`T8W~1CPK2n6iTMfy>|`_yt@CuXyUT z+65=V<8Uu*olX9%q?oJi z%xU78$MalGI${4h!h^x?DpdkYyj5x?Y!_}}=RF&EebKoIZ z4qb)R1L%cE;80jP4EL}@H10(WSHl%>I}C}zJ?so!Q)sWD7d{Gy!oIP%hqK`VICyxK zS_==tov>sC>4r;S@Kns>s#JHF0OR0%SO7EPtJEU67OsOQ;Vw8}WR*G&7r@p<)c;Xc zDgsV`$#5Yofj_||VxCZ?)2n1y_!%Qb*uE7*tFoO!NHSo2Y12Q@XX{YwFXYStxD~H%i$3ioKAeF(@tbi z&Tt8w03XOCJa`hWg#EJ!55AmBKEcif)Q=L>Q^{YrZCaHof-6g@7jS+V^#U#~r(ED( z$fa(spP(1EpMg6#8)m?jumZYf5>J>0cfe=h5m+#b^p(0?akI%6_!3Npf1N}6-~qTC zo`74RYcBN>hQJya3OknZe82&)|NT`e2R`sH&uYA9npG_3m!kOE?tnJ3)PbbwBZ( zz(uENzu>Ma@*D20A>Ht$2Fhsx?p@U?0`?84R>^QbEPUaFo><9bBSE~tdADjpK zkE~WJ;ZC?69v+1|*eikXDyToBNhh2P)8I3(9G-y7;Tws#gEjCF{35AZx$dXmOs-a5 zxFw}p4TV3!47enfbi$=$Nhj<$p7_GZTdUPcxMw2qeSq*L5ns3vrokhy90pA$f8lJn z3AVhA{DpmC4O|R6{)*=Y4uJ04NiPhAv*B>K92UVX@KLxQZiO{)S$ehVw2*kiNO%ZN zhVBgV3yy><;aa#Iy0S<&{0X`qq~2zeZrCoDbi+I5m*3& z9-@7Lp>SA!wTgkC!W`JKkbHwRa1Go)1@~~vRNTYmMY#Vp>4u>&V;b(^nUZQ%BObUu7vC17I+-)gWd0~R+VrcY`=v1aUb;! z?t+tHzY5A5y6>mF;1;+84tRk4hH1Yd-+n_qTu8pbJr7o^IC#Yp;tl&Q#T~48obQio@oB8-=I9;K$r$gU^(0am%^5Bl0UFJ+y`%mm2eeozZ`qpc>ZAA+r$?p z{F(T|1#lBQ{2uuVvv!fMPf~6l(9XeqFbyu=O?a^AL-Ggihg;x|z0`X+^kdSwf_4Lj z!s9RoF5X9Y@Y7F7C+xAG{DEf<;tsC(n(~0-4pAOYQGdU|9b9@CcQEH$;tBU3Cp@_5 zpX4iC32Wdw*l{K8=n2{cfhXyqFi7^72&SpxvVF?u>H@}J2>$SHyZqFLEAC`pS z4(5f|DDN}Ww|+G$4i?`?JmF9M2@i(cM0l_Q9)Ljua0i#bPR~;RZmv<0@cDr?YBF@) zLVV#;xDxh=#62v42jCLe0FS{=Ye)}_gdGRr9!`Pt-~zZ3E*e~;cEG_yYSeMK9=3jt z=Xq$23WGafGMpWSI~X>sMy-V_;ZFEnbd5R*+sEMUdHQ!422)@HEP+LEF5N5Dyp%zko5YU2=`efx}@1%otOn*1;2SADov$I$^6c+^uE2FqZJ( z>Txxy9BzY4;Q_b_J~W>2;C2}FBJCv%g~1aD4`#s}xD{5wFW?&3^;Yr+7TiYui2C*# z)$S$wrwsBH{xz#c6~KgC!h@lCqz~?%SEG(V&)wMnJ^tN?ec0nk!hy$N1-$yT8nqrK zzd`xIUfXI^@H+Z|_o%0^_1`Er==}iuFl0CR5BGgVKETq0JP)w@*Od1k$cF~%8LSDZ zRps#Nj1CehYid;tOu4pJ6~RT<)vBd%S7@!;3g>pO zRYzgpp0%poE7V)q4?f?kR%HkyYSki`*QZu(g6nRqRR>_}{sKic7zQUM)~Yo4P*Sa$4PSyQ;WNp&gB#LtC+1^u zw}Jc~U#kYdgKz>&o>;3Y;JcG+)q0qbLHyvn>{=DPk@BBPdSFILt(puUnpLY7!ufMa z4{UcA_F*{;+QhgKhQf~X$v3zk7Qw3fYSnT$<$mG?*FAtcxOXA$HZ$M)AnAdJVI1u6 zP^~I}OMXp0z-@3nEPfbwaKU2o4?eJja(a#Y;vVp!@PTFIJ8ZSQRxN-ja5a4Nx0Em3 zy^8XMXJGr+G5;Oug9l+6tXoaJfDb%PIm0Tr1rC0;Rvm#AFlYZ&&d|t!EJw}++gw6TD1nQ`Jz_sf!;5PCtM6W zy+ONnpjJh~gs*B<4%~W(e1UuaPB<`yyCaXnN4aCN{hQ>^kK_mJ-$4Gud*KrJ8e9*P z1Gtv~?&0pb8aN?{yBfAq?=PrR$#69+fv#Zg1Q0b`55wAU_XOMtU2id7_Hgb!TouB7 z1kihFoth12cHoXXxbrg3O^45SDW#^yb1fT758xz!NG75 zOo8j*vYT-S=SJfWF5qsA?tdbG$JD7bSd+^6@o?S*?89LbNjKbiTb&AehkUr5J2v1@ zm;jH$BAAfDU4ZcROzsVVOW`571G@e!^G>7(p2)(TFq`zi!3A|{HGHUu^uv;Aq#r&C z+wWi;4Ew=DFby^o6F<2B4(=O)>&kEkzko+!aCw~y{tNj#3wQ7+OoJU~b51^tflJ{! zxCMR+_roC0->-r5IO{(2UE;^N_c3tdJ>0njcihXJ6R_%j@*gHXK)CREcpSd;E8_be z?fyc-g`I!RnfdS;xBwo6t6`@_s0HV#21FaDwqIWza@X*%WyfI|GPT1 z6)t*~biy;R_4~A=YX}c+fobqlSPoCVK)r$k*WwNyfCu2Jm&l*LqK4gJ(C?{_@OD@L zi{V1J2(E<(;Z9hvj&R{J82mTFgWX}wCc=el;XGIgSHdNm2^YTm8t&ljTZr#2%Ha*} zpnwT*0(@W_`3jf6O?`uV;V$8J@)h=a2X`OPe*GPHFy~wH6&8O_JmJnCxO)bc{EPgA z^G{=cH~lyagD+Q+pYV-p>M7h`Lw>>oKNCNAyPLCUp{E7sRDDQ(w&WZ|_--)gIl*-o z)~f~3&6&=tVF$P!Ccs0m0tW5j`M;=Mg~AOm2A+gDup{R{SHM!Z2Hp#Iz}4^w{0Rnq z#B&%@uOi?km<(es;S5o@2rhx^;Cfilp7V0yYFGn%bgWn2y|iOj)T=nS1{T3#S8}!_ zT-B*wt%Fmp#vSx_C%lh&&U@6W?yxQ#cW_y+dQ||sa^CYon0N!>!Q=hv)gE}{M$Ybq zA^kZAXCL-p1e^?$;Zj%*cf+OdINSuUxQTOR;jw|7KMRX*saKsop}b)v+yp1XJ#Ze} zH<)~aorjQ5Fa{oh^I_1Zw2MQDHyi-t;7C{i*TID_E~;Lw6*b%mcf+IbgE16=hy<@`0z9cRTQ!v%0Q+y$4z_G|0a z7T6u`hx1?!+ypxwBE2t?KDYu-h9_YKoc|K(gWlg$zu-K01g?QW-w=;=q!Wh07&sE< zz?HB9_V@$tMGberFW?dAei`?Nc^+UWEP^rcA(#UXzzR6!72Lx*xC0JYk9(K_gZ@rC z1w-LqVGKM9bKpSEldFKc;To8;0r&73cm)0x1|8u&Vk2gX4BmpW%^R)Dzh81D-SZ z3~YCl_5()1!!Q}f?56#MJKz!+`62ZTZi9PZr#-lb^I^Lma0esc|Ha<>$LBTQ|NqIk z(uy{_1VL88g^Y}&bNT%B{cCQwdR{sA$Lsm}{W`DL>-+xHj91tx*kI?ND#kvGt;F8<585dAG?zGKviJh#@31DolvdV z&#>FEU-Dk4A?(S#8!GcZ%=3@sT~yfJ*fMNVHt%V|hK?IjP1q-}ZP@p)JF#JG(sz^x z?`6uy?#32k1H8Mb0($`OZ>qyi#WrL2nL4DlVUOnhO=0XDY})q;30Glrus33huuo$v zu$|aCY!UBzYQ`?dZo{@4e8_!4_d};eAsT*o-{FW8cR%V{e*4cMcDqEsc-DGTd8mCW7R{d z1$)75L#h+|6*huhb^DM?kC5MGLuv~4&>Gqc_FQZwb{V!Fy9wKZ{RG>IEx&{C*!Jaw z?&V5_hh z_YSE>?8Vs4*o*ETQeD_Xe$9K$1{qI(!@DG~iS@i+0$YeJ#a{PY-bsP|8rz6HZXMyV z*JHb|XFWjpA^H(EgiU;q@YqwZrP%AR)!3cbM(q5D2#@^;+l9?|nDE0K$A+-WvANi1 zv8C7#u+`WRY$NuFM#5uH$97?_!zPTd%)o}QZ(wt=J=jw0L5~m~I}6*0y#~7(`yjRp z`xk72qP`v_Job8QF7^#=iSXEk9fZf;iQSCd>uthgzr`jbCMGm*B|P>&*j((|#9>v6y(KWLshw$*}6ezKTsS5)+nX4XY6L$)kr=9`<=`8TM;z4fdFc!>S2;J+=+I1-lcw z$1%eyDUg^j3!90(5u1noHMR`XCNcI-s#7uW*q zzS+Es1G@xUi+u*W5&IFg1AFsvgvYjHQ^q7FoOwLqvG-#Ou(zK*tje*67Y(a5*z>TP zu$M0!R@<<5FBw)5?AcchtMsvn3ID=Q!R}K&tctNe$5vv`#nxkAyn0x*VE=l}u@yPY91a zB}{niJBN&@QtWwiM^rWTrgKMBBlaomX6z@}F6=?)@qGQBiQE@6qC(h5vANhUu%+02 ziV2U+#WrH+VmD)#VY{%Ku?gc66C&6U_SEwUkKMP7@Ys)+@Z1ab$jTAbgiWa$QEk{? z-8rImVq5O!nT+v?2}bRR%ET_g=3(E&mSIy?5gz**wh6m<&4}8H-ErTD3S;Znj;OSh z#Dt@MGoo^^^RY$PmDmbw7+Z%O`Yq|k=By)LY*E9A%Kj1U9b1J>dT>Ow%HPXu=j?+puNWo!Clj(!(RFR%|2Tuz8P+sFb}D6Sh4*qDruNFN~;-@;9~{Tk|&M zw>SRY8Bx2iWm_qyeG<8EjeKFZVUzYvOvrqHL`}ukU<l*qkq^hjjE`;TN0PJ)(AFw_&IL zn7_X!U)Y*no@K)3r6^TDfp~wU)DSi)s8rs8#GkHIGdBEVrIIq>6O<~zrZKgW{}OLJ zD-^I( z6+Q;;myQy653KhJ~jg!{!`27mrx|L`^NI~u=Nv#ArOJqPj|GZ zCit_De6Pwq{0fJ+!ABnbUNK#E!yCJu^zVef|CoP0Cee?#!2Rkm6aE%FUOnccIiV?j zJuXJ`E*igfT?=oA`=w(e{4el$>DY?qxX0tCBaG%9H2dh~b(&dRW_hQLVci0cmCg`h za^NlScOPzyBt9!xn$u20w)7RsG`M z3IB}eQ~h`nC*H?-4%IjR@T^b$c^>>}o*(t|Uj|>&?ayoA$9?y`dY5s^E&q-{wEUak zpY{0jHu(Mh{{3|)d?h?ye@)(#y%sdDkpw3V($}=};Y2j|4fw}X0KW?!FP?HV-=m2a zPaT?j2mRw|hOdCfi>DLKcWC_L36aeqG;4wTiZRnEm%zNF zXx-$(zvtOsKVAwyeoR;$MsO!Qag^Xd`c^eO2mYz2?zcO2-w5wX4y!vo{C0>KuNdm;GG4v5V|q(2w_`Gl~V!*3^ljK4;%TZUz5QVtBOZ#{YV z(#b;&{NscDc@uoYVLYF#$3Yn`u*!e>$n^Yx?R=OGKtFVXnr zAs@ac&v5(kMexq!{PVB^-Uau|!+Q9=JPRI+OCH+c(|P7QUOjZ9S%k(fjVV9k+oC7= z^NH}W(_-gA(og^o!mr@Br!8D$wFS|XqdDgXG<9ei(6C(d_zCRop08Wb+&?|OW;>dd zJl{SOH%@w_f7@*{B*%m7!K2BS$xd_(2%LI=m11i>mWgKTys-MQ=%bn%HYj$cn$nv_*r^>1E)Fj+a~zC7lhUCJ!8-a~yEL{AI!;@XI~nFLA=>!KW{XlYjUz@cTXCS3BWr;EnLBJp6Kp zH^IM!S9nS}E5Ov=1%hd3HTrmzv2keO19Xz>n4EO@&U-@8 zLWuC|(LQ@cSS{6k$vC^%@+X=OGzp8t>UX0xkGq=PXll{K^OLqW*OAaH9_>d4T#kkK zHwDcRyvre;pAs~cKcJ~bv*iagO=vQfFt!;)9PNKv{YZM+(M&H7t5^B$Xtc5vO*fhy zaWqnAya6uZ#;a*7{C51TF$OoNgAb@H+Tfc&v4x@MfEP<`frNbt7$b8@!(Ir|E4}D~rGsw~fk2fL^{f9CNKF zafRT|!%yJ1QyxpKxP<4z--I8i^K&g8;#evCH8@+VZg^RrC0c0^O%0k26=C)4Xibx= zS&t@XX;=mHHei-(pifkimJT#&m9fVm>4V+y1o-uOJX$*nTw=wC%B-i1G_E)C9+E~m z5N&tH17_wVzNzqb_*@US2L2GoO5kDmPMu4i{m3dGsjF%<%{MakFc)>=(CccCJ)QVA zqHS6hR)5#iAJ}RpT6}fD=iL!jPwD3g-B+D?$}q>C2-?oO!s;k#MA5wI+WihQTNjYTkjem*3bo z;HI?+ZCP_zUEo(nGu<-Uinfk-l^jDHPQJ7~h28K+;a}-o>f}?aPNZJb_UAs3SHkKV zU1MBn3MB10@K*S3tgW3m^s-w#*3p)t-RF(48uY7^&#ioha8--;v$trU{C50G+N`L} zz2{A6CU2qK^fI2kDo~rWY)rIINg6xR9n8B|Ci%ttW4C;hnEQm@39EfER|1rmN6eDXGb9)>r={rsn;GiJe$(EVTJ#-9UkhsPR!CH^A#mN@<^;GJ>8 z*TFyX;UWAt!?(jdJ-j(IoxdKaCOiSh!yqq%#>zixYkdeE&GS7@i)7SHdU6 z;q~wgIL+AY3un6dYk}_*CwwP7Uiu?&N&gJpzr8S!I!x!{%G5Y~3VZ>4p(ni6NJ1Pd zhOdWv>d3Gc1#pR@3e8qD5AfUR@5WlE9XG%WKJf1+t?>D9@#Ta+&n=_v@Okhfb#Bk$ zB+L+e0ep(it!cG&{^O*6Bb@28>wk{xe=7WP_`y0q-{mFnMewt9Zde=5X8z&p;Hx~` zx=15qSOYxy!?3E-$2x6X3S6G(lvO+0;!gjv*aa_w`;~x2)UYli_}2(k}RvI6V0v_BY@dx2}s_ z|5*SDAB)QzAs-;|pRbSmXGGUBff>=UzZ~77?O}C;9*=}4y{&xKEmou6Z(`N>6B-W68o>FG7BiuHX0cK4<6qL51(*1ri(MWM zM$4lRUFct7bsu4zG09kEW`t8tLOJ|C_@O%Ix0F?_qyct?&Wwd-Sm7JtJK+y{xO?93 zfG_wwb{R}9A?p6$%r`ypSc@7-M-KcxI8#YCkA-es6~R}){mv~F z@MqyC>fx8V;p^aA;If=|%5bjBo8d3R=j&}IaK1ShO8U0JBVY2qJr6&_;bHj1Zq_9p z{(lZnqjGkC%{l{LPW;AJryg?PPka+rr|A4fH+@C$H{p|YeznDAT(5v{hab*$8OOgq ze-7^9#MOv)TTfWc(|sA%%?^^+&G2AvSY7Sm)`C66u`YNi{B@nnTB6YckHh3-qYFJ$$*tv*7c`^r$O6>9iJ> zQWp8}jdA!Q_<#?WKCuFx&pR(?kLQnA>+ziNW|<&MXy$(l_wG@Kp=syJxz=2de!{AFi+**i9I;O%S@b~mGiwi076WlMKL-27EVuugmGK0x- zD*W-$`Fz05XD*ui4(w5D^)yI6wLOC}G)Wn}e^1w3;ij_&o(9j=IXWR_qw!v+; z5j4vWkKb-Hj$(X6uEM(6WcH=kW-j^bUv@y4N~qq+WuCe}3#X>ytHP4F-E^Nlt?3Ur#&n*>Qy zA=)KB?NKZF?P)*O?VYBk0?jrwS)ShYO;b`8e>*1%GJjAhLcpF^qb#?sHHuODl~2*|;`)RmCiSRQqtm&}stYN< zOf+kb<$bt%{YTI9Gp)Lp^c0|LJ+4QcDSo4K7^A=`v&Hale7Jf3hlh^uQP1n)qw|C& zE6t*7Mz;aoKrCIitJ{vQ>VzKeeW6i*U%0v?I{2I%-fJ7n-{-DwBD(#5_QSd&bf2N? ziRDj=w+h{+$vvt!mTs37uas*Ox?6tU<9kiA4Za4xME7G@^E3&+6TSm}s?Kk5{U_1! zjVb;-6Mh6dR}XIuQ|3G}4?Z&vFM}_HSL)#nYok%>vj+YOJl-`8(QQQc#4mc(o3Ywq zi`5QAw+&t1)E@QJ82*U1LwA1sTe+$(%K*FzwzdWPIdu`v? z;H0G;K4&K9Gp_47dC}L@x22g{DW5Rf=6TfTI89q%Uqd=}oT&?eGPnrdQP!jCk~E!+ zVJqEhR=H@VUe=?g2S#b8$ZiVd$Kf(G^LS7A8~k?C7VU>Gnlkg6WG%V~x-kc6Iz11& z_cz5-9xZ6!Th^m4(tR3oR~=&r$2#F@H9hK2dfiCcfA5}ShR{5F2k*Vs(;hg*Ot{36 zaXfMGp6x$*;%IT=mYfiv(JbVh+jI3Yw62GpBBdzt)Sy{?PmlN7 zc3{LzXb9c}zvf=v*FHL)QIC1TKjh{gz8Zdk zhg$_~=6^Ef2lvfC{5^QAbDEid_)#Cl$v=Do+&BO5bhvN+;d9`Pp7gudi#p+3;4?hj zy75ukb_Bi~?irJvdpe9iNI^+HLM$d%f9xMmE?gfE3x!$bUb`qKq&JFB+& zGdefcJN48k{y*taKhk-=8-6o<2>%Rku7CSpf)Izg?C_uIT>4U{>m!MaejUV-uWJlz zE-!h=gooqsJb1_-F#|$DDCis|MTa& z;HmJ-^zcTRQ_ji1;2aI7Te$v7gG3=^kOeP?f39=;rWxV#jjhRDe6vA6-v<8T%wHD4 zKl{{wj=2Ip_A~#zjrH&n_$*KS)6MkDd8Qp+1Ak3Fm+R-ZXRP^%q&19o!smP+!sF{J zr!3Nb$$Kl{S9tQW(9DbUogDb&T|MeiJ$!UNQ)jv{>#PLbCUjCYPTRMyuZy25csqQx z&ZB;`^>P!sN&n_M8hYNWImA}UmH28$^LclCUs6uH(G`46f6?=%pSwfu{3zo@-h;q* zF8s#GsqoeCSmzK)Qwe+{-1FR?oxi{WD;`Nx4Z1Mj(fEa5nvQhSv8pB{BO;hZux zW}Af`;?P!jHoRBo(wFRYp;`ap<2(PpkT#Vvg3r`_*f-ZmdUN2{z$^9o(A(O@R$CKo zDcVx`EXlv1qjqn{5-LI~_(e>MccHto3Hu3XoO&`s9^-i>NhI`bG zBqE8<{dB(_)_gt#oJwU5CH5-McxilMmao)lHvAQ%H||fnXWOc zO(NkLO!A8GakhuM_vucBuYjNC;nvAX!k55bfWPVCe>O8I^<4!o8Plu&Pv=^_7=Lri zL%zM2I<`0FdPnjo-{d>WSH17;wAQbp-Hvw4-h6K(nLncKUe}(O zY&s5slXKad`f;zimfw!nuy1tckd)oTT%MDj(CfW!&)6bY8l(;i;Pc?Wj#USDT4PuU zT?M-K1A9m9U)4HelxXVFJe0w=Px$SmN5(O$i6?|O+?vZd6-~ZAUZ4q_?2Z#%XvT*4 zc8Weu=w)!LyH-t^#@_8=FTpIQdX5{ zihkOw&P(EtXx&8jAZEJbQ6svVV|ruW6C!>#!?(g$Cu)A8?Y`PQZ|p)h`B=VPgUpG~ z-h&EpD0w>PGWa>VAIbY%%ZJ1@5zXf8Uhj3+Xk1}SC+)5X-MZs@)gScyYyCR#m|H*9 zXfr4GsvbRFUHebhuY8B{o1gcp3dYt^)X*Di&`%}WH ztm97U_3l0B@&Ct)KZKi!XeUhTRlnDLN}GJj@+Wzx}#+r%!b4nu@bW6`+u%=qKV6g2CK{@=!x zGPK5d@yC@FXeOK=e_Yv!X50nw$Ca&UcJZyP9~xI8=+YPXk1Od+{>tF-#uX{6Ty$G5 z?p4q6+o@ma6Hi*@naSZYG{t4T>S(t?IBzz{k7k-e=i{13+!M}&cTIb36pbS3#vR>~sQN1keeYX(W zHE1tG%QDSv1NOZwa!AtCjOJD}e(glQr+XFr*AgJwj*K--$XFHh3m{g6{tuH+&d= zF#Ir`YikSno^Z$~y!5Rc_yqW#dU$?I-4w$1h~v8)z9;-ZJ*>8`y9S;F|B22^t+ah@vkES5Ru?qN1zGJvup99GISl)5Z{q<-@uI%-_HrE0#S;Dso^>He2v^hXY9G&pR zS5b~h{1MHA#F1{zEkz^WabCiA6Ym?Hjyv3RgbG+k@U6sLPdq0&@#MmX_-5jm(LN&9 zSSj(8q1nOr6Fc>}g{0-L)|^7>Yz3P8s`yr&t_fUk3WTqRe{(C}=<}pw4>Jt=v&3Cds^Y{pxK7^OUSFd2csprEOapG75zvix9H8LKtUT^;y?`WFQ6#SaCz3xNG z^8mNZJ4LgWb7Ar*KjYms-w>Kyz90G5(QV`vcl{A!qiNTpqt0W-CMQ3+@LL}1RVV3b zu^+Gv5p^lNp{ZAWqL=$zv)um{EqD1|_qpqP)yL?aJR2W4`IYZ@@ADMn;plW`S?QEH zMmw6!XL{9N#%MmG>!}y4`Kai2qicJ!EX1K2_=I-mwYo3Km(}&me62?lK{HYJVXx!l zkmRdF()1Q{H#rdPJN7eeAr5uJkAO4mxm@CzX5~xjD)mgR!J_HVHSTpG^KC#9*A#T$ zp<@fp^`%`uEQY6U=~bWV+}LhLB;hOJ@4-tw+`2F%ydJ*KUm5@PaW!y*IWP-vftUZS zSFx4v#$RFOBgCOj_)7SLI=7z@l|v!^4WUVToA2D}aZnzC0e2h;aRF}UJA4ClRNVw_ zbTxTs^4{%LhmZF2W9vL3aV-+f`@QP^(SGi-{79Z^(KPeD*Sq=c*57ic%r?S9e~;Y; zBzy;aBD};C-n#HCyc@m<9@4pXEiQ$PPT>_gH>?x8gqa9$g|F7Rw4WNQ+$D}eG)H{k zpT=_d@o>L1u7NLs@2$t7?N4rkm%5_apNIcckH5@qm*wzQ_&;Kg0T7PFr>!zU@D4 zejB_B?pFq3_$_djMOr(XZaN5YDD51|0Dhs)C5`qztdzqPG+k)U)7x|4EVD5SFNUZ8 zqgQ>W`!K#X;}Bj6{}TSLhj%!<9^UW~W2VRdEXRKfyq#|$r|99WA=NCSPIxo?CY@{d z5J%vt+k0ca12IIH^mEy>fFGcTx8Ff3ha?YE(ex5WsT^>|TXzgAfltOqtnpaFSHVl* z_j|&xcFLduzBNwxR*64O`0en^`9AbCPZ>^i%5Vr?%Xg!@Jn?_*#Gi2CW zQ}~{=A1{G-#Nk!&+E4jDwjTefX7pzHHoz<3uj<@**%ZjRsuiC0S+9D?Gq-Z)GOMHW ztDWdp{>%S-pHxgb;ZyW-lJmeMYite?R~DMC&wJIKdRnAU+-#*+&dUX8^1kR*kLc$C zeNJ$Xbv_UmX8ogo;orT!&$u_hH^P6U$C+=%m(HTQ#ykuvT=Gxh7(aS2bD$Nnq)aQPkW!}c{6;UV};`2IRS(`}=*_(J&Yx_@a`+FYs$O`DGoDX%v8CivsJkLbScZ`}6Mjc(F6 zqw19~lFsA{D07&neQWI{3%&#XtR4qzt`L9c!OFj-AM5p?wR=h9A~Z$2tN3DCMT|Vu`GZjrYnrhvT_WVi- zyr8Go`(8DBk)A=ADtLbHsC9hc5VP}!;0+SKZ`3#-{rhyQf6F>-Gn(p1ubQK;XSKWp zrpStx@eFM@+J{Ei6G-BZX!+~cZ36CkI(Hc`8-t!|2yGX@K^Zl#%{E}*$*wB`;=Bjq;{&7?7X-uEc$Wqq$(FU4q|7~7{V@fi~WXS-u`4ce|f`eN?a zO4^#>AHhB4C+VMNrA^AP9gUIP=ew`E3qFF61JOEVpzXURm-2ir+^>wY;352zMY=PAJKLY9XFO(^$-G8qFZ}l zpE^?4$=G&~t7$;9E~8IfK3Y@i*5_t4T?h54_wnh(XT0s?s|#LtaG&?tKH|9qg`^|l zV)_@{vyQX&bj_KT)I%nk&O`dV*IV!*R|JIT!Movyh`(r_=UHVE;!v5*J_b=v4 zuMo`&G#Q8Wsjd8Wd`O>o)AA$nY(&$JX0oo43+4PRyaT=?4)2DC;S2?C9EO!p37=BN zwVlKJe6KrAgwKH=s)yIsE&O))aRL5_mZN@t%COpN z2;72p#Swkp`&p#C>|2)1_K#*e8u`9KOn=|H{*o`@Ja*KmI%dz)zLnZMe@;ZxhUOwa ze+Ab0Q{pa0J20tFRr_hLu(Xnw8nmaM(5GJHx06oE%QIHlh-N*S&(ORyTJt-t{?SzC z^r@G1jdd$~g81l$@AI=h^@+|Up7&iJsS9aGXs*yT_B&|AM>afta-Zrp_#;|JfiIke zooI^CbWLFl;J2fZcu==)n0V`9&UCR3A#J;%Cc1nF_p}XcJ#+kAF5zV*WHea#kS(2_Iv=j3B zyx&qVtc6GjUIAYV|0BO0Us68Lx%FL-X3~s4^?;ssGy!{#Vdfvr6EkDy-)#TztXX~P z9lfsf@&8X&wMjW7FQVRO_o-|5(9#sWMzO%XMv;v!{meeqtf$?u8lj}A5WW$5RJ;fRe#BbVS)*Dy%sVrTq z*Vn$5R`Q#R_JeEtyn6-qo}l!icJ z$5X-=!H!;Ca z@3zt|aaEvMywYDIby<(*soH>^G)y3OdmyqCJt^EO-dzm z-uwEz*D<61mRdSwW;;x~iuqVw?D@RZZ;s8ckpR*0%zjC+S^w}x!dH8^b%GII0Z;gK zpYL_fI{0Gv-#p>l%>tD0&F~3pN6l*jr<$1%z70P8H?$2;cx&M)JPfab`(1ZQD`$@n zzSa}ox}QP9=fD@%`>%V8;5G0g_3#U<`j_w(@LITE`s(0s!uQg{YjcQZ_zUoZb*{aG zZX3KA&gEXMeok}BFAV=29_#!lZ7Pig^>(=DUZdzZV%@4^-cLLg-R9r+sps@~qPoZ2 z{Fb4cvo7{JUCN^d-T-Ha*3v7pT|Dct$g=pke4Sk9t)6#Z@=}gKh7v2G%|+F4{R%@>PZA`1O6h&rdbLOXKiX_-goM zd^u^+_5-%Vn|!$BWeEN<+;b04bWF6r;~~1t3eLSx^?C1mh_-Y4IZe?OpxbA|59=z> zRig8}b0-?_t=3p9{uFc!WPRhF*UFNg??Ih(o z&ig)G+Q~$C2>uMeo$}V($pcngA#gF;N74RF*V^~+$|1A<(KMrpH4hiw0B?!ITj8y6 zE^E2z(cTTZ9o`#<55cp4=U*NfOId@$=X(6lG&3pnGZj7$J}pU$-+kxtF*2bFfy&TT z{N8{6UCMR^nqV_?GQWA^FWmWQGurGI`qa68+G(z~OVZfVr*8MtUTJA1ohjF|E_=C8 zb@JP(Uvr*hy`5CjnT@9Gk9}&x{+dSX*MVQ}ZV99a=JHbR&1lzl_Ng=W)6(GH!#H(6QXuVTJGxiC?u&W#K<0Y#oua@K;#G9p(5*i>;(bQX)frOiA#_9N>Ov9kJvwq; z-DO5;?)imoV9)ZAi{bNiB6)n;4Vh~@<}&AKl= z-iNI^ku-LqJ^9v%QhHhI{q<|f4d+9&NmZP;Z}X2EiK&~2ZUed*NgRl_%jh0qj@AEV zC@DhMen&)=^4rlF7dY`%z>}9p;yzm@d1yelSYZZzW_iYWQ*#AQ{D9I@8FxA4p~nm#!YO^@-Nn}7HY_+EbXGGMj;5W!2)zV>KD zeW?4A@$PS?JF{KapjrA@#Je`v+v+t|Ta~ifg!b*Gi1#{~@mD8pTj94n9#L2O^^p>{ zj|`!0dorSy`f0UyQ)J!B{A5E!t@G>icev-YBD7V{L{x^Kb`Muujdt;-h`QUaEiJX$ zlC*=3XggkvsPpvk+g*F)$>=R@c^kT+)`;V`o8Djdw9b1Ww5hkT5B5$(U90z@Jo9;#%cT`c zx^mDwu{9F&zEU&)@b-6^)9B?CUALsW}3HS|(8jq&s3b$V+-_G3S?-B3%T$~V0$}kH)_5;$Y z`_bFUgRZY4v@1W1c)uqQNHGPH<_dUKXTAy!NX&O$Nq*CpalU}(>TO2P?83UvW+yw-&%?=g zlC~rI)qZ~3fUC_x8~ka%s@Ao7y7;)3^%yPRy0+6A zb0lvqXs6`#s~1vyw2!1Xb+Z%gYq|a2eH`i6)2x0iZ8muYZ4!;=JYwHV6M|>KN8rCr z(&CeTagQ|z7fk`0iW&XtR=r(|#=Od8okz;iY@OM!?$kAU`_r@yXeZ|Pd#``$+7GO< zGy6Z<7Zh2Rt+l-E-sTQC0qJu0B)x#&8*{@3U`1HKbvEmeM8`?SN^#92!sqv^9sG96E}G}9R-Q$-7~O_>{pvrl zbpNz;lEyl86&Lh-@7vMSIN9ps;&U_FH6{J(y;wfmT%Wtp{qn+o^`&2*{kz*|)9z+| zP};8!@f#z5sJ^1`90J1TaR|<6|^J2 zezMXX=i1N~UD@wlAL`}xFIT%8ZRo0gb&+2h&T!L^!9?TStNXp*QP%z1_fAOO^3hga z)35%m*Mp`tK6Kkb1=`8i_Iuwu9qmh#t$L8UZ9sR{(tfq4UIx*2HE8*hzTbu}=X%<| z9=B0t3WV>3uZ4TYHpZps_57q&v`cubbx4Ttned0;d3rizT>GV4uK8$Qsq9z(+>-#Y z+QHk_KBkma1=^*z_p7nGKfSENZo6$nTez%W{WZnM?+eyAFMd1F?!KpAJ?&Swzjf<2 zg0}p=epQp?sYOY93Jc9m5B7W4 zEn3?3@1RXVyY?aGMtYpuJ6ek28{x<5{BpO=R>E816Lo&6TkrMocKEQ)^|XBDYTMAR zd$?aE==syN-&k5Hi`{6KH1?}A^m=#q5T;74i!OZ)`D!=)_^!t8Q={Lu{*qY;tuLiV*E&b|hPuVYa z%Dxr;=u7=-v4>yk@a^z#UhelkKVX04Ui=Tir?mEa?>7%jGz&Zg&$yp?)vNv9Z<|Va zShtcSh$a`!Epar`*2>U4kH+)Pm*_axqqTo@t2Z<6@Z@KLnICB@8-@S5Ulr?ZR&U>@ zTI0LqtrP9bud_zsx6>B1XVW6^32pt}XZ4o4X-Ti+91TB753fCwIR#z>kJVQtt;O(p z@Yj;H`1Q0tW9_F)T5Hhm?&$YETWP;kQFs&lmcMcS<+qcUTirI*27eU3=N=l@;|g1I zF!8k;ZS}U;afRTizh-Us_kOiiuLJ9zar22I$y*MZmp|xNKhnoWJ-&$5XT@JB+J=v4 z!~AyAE^Wvj>!p3vp!pKb1EV$8Emv~X?0Xy0&HuRH_db^nc!>{}c)H>9;1l$*#=VX( zR#Gixl(v>N%#MCFMj1_8EWUF!0*f^ZRV*O z?dmT7cGd{r2tQJfYk}KNH^ZCZ=j&Yi4nr6G8~9#2x3-1M_!EA^wG6myaX5L^?j;Ms zx5LlUx%M4~TzK%)e($^Oj60mNDuq|VC+XqseN`#TYWQ;ap*k?FSgveH~`~*E8G_4boZ;5bdBDhn%wrX32o=svFGyQYb(4P{!72U`4ekiEB#>z z?ZAIV&G(|~kboO!=5Lupe%J54FPS`CMKwuz<-tebp81zyEl`A)!FPPmT3*jz;5;(| zGym`jJ^kw8LlH;&o1XubhnfvS(!2@n>iq}2?^t%PQP+r5(RHF5I$$8~wQ-3%VI67v z@qjvCKd0z@b(Xtkn20tdV?aIW*B0-y+M@U^M!Vwhfgc_{^UUsDt$K*YjxK>lQ+r${;-Dr~wtw z=O@x$``vbvgC_mh0dil#Q zo8#sb`04PU>D*rbgg90VFN6PF=Ukh!Z%;IRRH3OvLsGOftaZ}R01v~NwrbpZuq1;+ zt?-2>4*1Sdw!>@Se&>!McrE;VkAL?*>5K=tJ_q+ZcT9!90QWn0l)#(evCbV*?^W>6 z;r}o1QC^QWf7*cW9!5L71kUnKE31`GS?+>&z-M{*bcZKDL|MZR(YgH$tF*f;o44vb zI){DMZ5M^;R!kr8y_caJ-UweAdk(Tx<{%PZJvw9NfSTlK=gz%e2T4m0q1%iuIe#GT z-u6y3*=Q&Nt!(O@yeB=(yL{n(<8vnb%h?0!uYUdWCF@)vby|pa<=g@F2fuxwMr$7^ zgtiK8+BpO2Lp_hy{ThFf{AHkNMALrWfHL&*3JjYaUHE4BhI#&ds0)6?1+m+OlygEO z>wI`t62GHkuX``yelnIxJXxaq)qr|PFN45u&5R4rho@aM5c8f9;fvtiaKCxb3itrr zZ@gI#{|4?i-n7G$7Q`QKy3wSg`DFtCMe}NHxtrrr$|Ky54L?}t+FWoVJR5$D&h53W zlw|?@WcWLJUAgmX^GO;hiwbm~UoxPU>3)n`oc34;pR;fv<~!6Rd^5ZVexM%yTr;?t zk8SWmA1>((!wcYn*y;Q>+V(OYrQRx%yn zydGZW32$Aj6rKy;4nIuib{WWcPzv7x?@Hv4Xq(gL9&fv2M=jd>7BkN1a}RwUXuro) z{I;My{u)2ub9q;V(O?CS=+_c~C{(&B_CHBnFSpYYpBqqgss37-$YRp*BF z;J$>sj-It~{{%y6N5Ipoad;d2wCg}Ai^T9N$-b9m!rv9b@-**~H z`WB%%y=veGpTCs6)}gJqWkAi>;|y3gDM)^Vy^)m`B~gISZ+yjh@?|w8|nAG!b1Go##C((e=`1H|`>I>+byF@|AL_Mtk{+ z0d<+)jb`XC1~(7oXs=l}pjPPZRM%eXw$lc*Z#N96)BOC}-hfRndmgZhD-xf!==fGT&y^rIb@>J@xbCfcG9ABiC#cA$Tr) z4LnWvC2et>+aAi$RIeXUU+Nk?zD`Rk{??#9c>`-JemnWVpK-m_4kb;^Xd2KQu50GI zeRdnX5niEl@nP?Uhd3TVvm4D^Jx!ACX>PhRo}wLWWNtK;0MRnl)4jiYZ8sln+VcbI zSiN3#tvO?5&4sH9@!LEQ_dDN`#s+kcqGP+pjbHL%KL;$D&1m-j!>Dt#X!6{CyAw?= z8qfMN+HY@h>pEovYwZ^X)P8!I1X4{w7I964uX}Mot@RsIZnMS|(H5gkY8~+IiD>0v z*w>__K5Ni+q7CxfDd*X4eK*11jKkaD&G1<3v=A)@~I;kA2u#YZ!m?6(HI?|VZdQx++UZScwP zb4L5HZl#o?W?2lOD?_(R*J+;i>1e!rN6 zp9hB z-x-^jQ@_o4@8Q-(DYO3J^>E+%hra;#%|HAVxNrX95x8&u;k)3z`G@N-8kbPd^y~={^6_PzT+SKbGUE*;UB<#^AFz!Kg*MT_dO6p@Uia= zc&~voFOWTIIge!gp7sxq_YE}Z2YKjLygv~0nWPZB3_fKW>u-G?Pdxf}Dp#N>`EcO> z={uFpXxsily7jrH_|v{q*@##>!d5-E8&xLewo?S%yad6cs+b?ooo9% z?RNN!bZ$ROAnDr$?;yP29!4^Y--)05x5X@Y4cxOX(e5$Khkp*A?}^{qJe2qs!85-Y zP=4uK0bd9&^MrTznbyNMz<;81ZI7lMz6pMTXYOu3dTZ`^?1Fdi8u-EYgGgG_USN*; z@7ULdWd521-vED4k4u~D1~$8Es8Y0rUol4NZA{l5ZMC%!erwS#_Jcp&C?lZ7|Ki+f`4g$v&XUjonOw_ZjI?Qty( zzXhJ6rzN^p7;*btMho@PJD@_kp9@?*)#h9DvI@LmR;ZL^2|T5rb-&K_y6?2=Uede* z?Uq6If%LeHGBYw6ht|W>hS=ZJc{I&itTaiSThXmU1=b3+H-Jc}7%$Jy_j2%=L>OQ0E=QCV?1?a+i40^94MRoQ&yCts`=(6@4j5!ub ze0A^@@V)eMi>Ax|uDSSWMOQw4(Dyro+u`frbbU8}+PAxg;MpmIG2fjl>BxAQ^FREm zSoyxl&37KUiNQhjvVMMxruQj#A9^vmSN0zCzAw=DwOM(RpIZ2oeFlB+=i3Oc*>}+U z3}Rq|nUE0SJK$;i4SJt1lJVnWx6STGGapS8dZ*5fhfRUm|NqD{c<`}$+3W2mY~@?x zn~JvefI;<+UOsXje#0%BQZ$QxJgDB%{YBfvAGP+6Zd}Ho_uVqadNW;87wh5m@Hh1` zj{0eF%Xllg$)Q2-GZsd-Q^vdD$%hWAPCd99fd&^}uE=z9})?PK~f z^N;rUpAD)yq)u6y_sSV}ib(3T6wRY(ay;>wuTn61HT;FigQ`*Yp^ev#@X0?Pj5$Y^ z^lpZi!{5@&MX#?H-LmOM8$59^=6!@x#wmXyKX9d|#V%vxKW4VgH0PijH+4{@={kFF zA%kN@@DcpPdcU8~@(z$ech^uABazEC85G5pv$gE8;15MB$P1oxZc zZG@iyACK0FUu!QN@PpyU>3p$UAKiBR$LQR?er@J|Gh-Uzt8{K}ybGTQuZJJ6^DABd z1@H}V_+oe~{4hPdcD=Y3{t7%+9ZLKg;m^Tu(Zd@zIOX2~-)rum?;O1wUJk#)6W(gD zX8!-2knl(Nu{yV}8JPKpZ-IOIt@eC&0el4Rx1L@MzoKB!_kCTp@WG9haEzJDG z!|-(;?w&t8;7d*$^nEvTH{3X#vE38?w@&$`yhi(mGd*lA1IW1D@jns%0zA>wfIj9zR_=Qd$v*G_-F{qe2yKxx5cl;N^Z@O#H z`&}*j!=aLga`;#9M?K-)J@qy4D_0JxUwPu6=fuAWzVYtCnD+sR|E=&p$Kku-AHe;_ zz|=R$UmTtd-yMe+!iVAad-CV*sg}dbYX`mG_Od?)Bk5lQU%zV5`+XYz_)k3Xhn)09;9oz;+|m>N zS|@yZJN5if?76a;fB0qanV#^|obbi)d*B~?_y-QJgwJ_+(7Q*Wy$hutJ`e7Bmw^4z zSu_9eml_Av$+~}QDqz-MC;UUWUptM!zlQsrYt!FiF7(J?%;)pX{KMD6tMvG_xl%Fw zJNR^+-)v^zOkX8D?NNVT51$Or(!*=(*cSN7ad;yEi^E&sH^V)9Tw43-gs+MdJ_7$W{3tzrm$>Om|0{DDxZm1l z3VcHxUJU<39RHQ@SK;&Y__cSp*2DYZ=X&^=PXB3vAN$y#@4cCw@C)I7?I!}i1pW)% zzqWQvXA*Y{+^>I4fj7X*_3(yO0n$H;;oWg~C46cV6dr;1wGOH;f;#^!80G1IV=eO6*lSk_ zKL!3Oe1&IxwN4Za|vG$uYG6G_u66${3-b3 z(gB?EYs`+OzY{(wVMy)rr0<{Z_z&+*98!l%WKQ^lGosk#}Rc&^U1xl#rv-`n7GbZ*RW>ToLjY53V5Zf&qg`bywC z;3w%^yFa1|J{Twd2Ka$T4SDxGmYC67`G-$}AE^7+?gQTr|0(<+ofo^|hu}xR&(pba zmMI8vEMqHU2>b$_%YLdg3?+yr7fl_SY`vYyeC!CbDVXUjL(_P)e?8T}?}q!8QxklB zobYY%`{5JybYAM_b0_>^xF;WmRZvn-N$;|c1wTa(Z-19ecqY6bevHnweUm)+*oi~l zZ><^+nF)~aW$?S;bM^3swQ(c72Hp(6%foA&@J;Z8jv0zMmk1H24SovzTlpi}mu21e zS;+CR3(dIGhhjdnD``l6k2-+o@Z0fW-!~TGP!{}Q_`dqSu*5Oe-48B6v$}Lh9h$89 z5KWqOEk)ufmv|NpDW+d;JjUxzI@iEYxNJz>=i$~3j}m?p{BgKnU2KIv5C4fCUb{}Z z8~!5v5S`o4If?(&_gUk^FVfp{pu`#1vf+J~4|(r*4eVv|5aA2qUtKYzZjuwPUKdNU z%sh&w0?qWgA(gb}C{3SRPW5OGdvHi?LgM(bUlJ|pY=J)y|8Shf1MiKKNQ8I7FIzvP zx;*@2hezN){XO;I;jcS9eOp4pyMLlC@#VyC@7;?3De(8;e&twfhcDK{8)rN9TnXRv z6~?9mH2;D01ET4#hmZaHklNeBQyks`@B3;}6d!tp4ShgLFCjc~jxJR}ZVRJ#FZ8rwx_BudNzZ=X>@t zip-m&#eWriWA(7_{GtK=0emr9rw;5FM@aZq_+hsV$2@-v-wvM#pWz9g>-51Pcs2Y5 z4}Z?&GJIupvfq09u-c%{(e&#xzc#09<~$%DZC%Z<_r1$954hCQNO~5d`E2>H_d0Rl z4^Da3!mCye`;Pq^;orbd(9^5UhdSWK-TrO98yA}={jOoGj)qxqo(5j^A!K~UbdBN=E zWAlPjmhF)j+>~g{9T%K3Etowmm^D2ZLQ0V7!Q{O0;%hy=GVU3+<}iTOMt(4TRUkhY zs!hrZW-S+A%f`+h7tETYh0Pz2vs1ML2~+VE##c7J&fvGCYqc@M>uZ+yx-ytGO%Ewz z^3A`Tk7)OVe?$(r;mKER67kt-sU$6Vnu8hRvyqn*wwbV}Nm%pzm={c67MK+boi{F+ z&Vgyc;;H8alM_<; zy`AI59N$}x^Pe2s&9O3$?Qb68nie+cW75U3VL4`|X^y1HICoqyHBXW=hadUDH`|{MFzu`@UhdO2!G( z-)w0obDefVqgXz6n&q$B2#gnh|J_jLNQsEQZIYk0!|GDWu<38E_@k}Z?auX=PrD0T zHYTROJn<*(C38Fb(oYPl<@~nlo5pFky;)MXmqrgP(GEy##ySp2kkg`y-|^#O4n$+Qioa*`_iU_$2=p5KI)fwU zVa-?;@naSTrUzH-nHOBczk2>P?s>Y%Pm*Ly2G78nQGU#i1srgqe3k`=47U`K4T+hEv{A=Og<~^^%T|yq! zl)i)h(>iQD3q%{LrQp(+2dLj=Nwb2vRl)qCVBw5l@yuZ9sli1vgXOb>xh3O*p;OIH z%&>Lp_=F<-l;WrPPmKBe*7}M$2If0`#T)}?1q+SSgT-@$rDp|;rv(cyh*|Bls#09F z;5XxyVe5W6w-3&C+PFKm&Iz_BUK-q*I6c^zI4ju2zg_&>op@ewbE5HL%%(qkdijZqtipdg{{MR{Jl`1$XOBNOn4V{i zg#C9W+2f6~oj=U+Le4EoU98Uu zTjd?k%sMj1GjqJK>nPW_C}zFnk2lYGh4|X`XU@@HUuK!P=RCX2N*Bg-HJgD)hOP#D zwZG<$)#)7DB>p*eBEMyjdNB|qnz`4QFt%YvD6=o!N3Vac<`OTJoQ z1+d%rZKaQVndec?yY_i>#`u|5d?f8O;*+%PCR`KYqIl+kLL8?BPL zkv*TG%WzJ)c+@1~)bS1LkU5t$KxY5(N> z{^qc{N9O%Rvr5ud8=x;OPh!kk#$2n=_^oxeqtva0OdB5O}sNHe1WFc8*;s2aH+4)S_Ur)Ke43Lt7|YgFlE(pKq9b$~~Vm zh9}DTrJWYKHE||(nv8PHtzt|Vr0$w;4-Jz9D_V_b7{@;T$=PqfZ z8ULa_-W#^|^(c3Hp6s?!;-if&uv&sMVV^nvd~dT7UyJZH@%>@z{!X(^&6GC9ymz_G z8Kq5S8yAjhQ!~bwnVy^mWsm>(T7xh1+AF@-^RJy_9ULo_wd@bIku^r(s>EPD)38Qk zCU#nIjbZfigDDE*Pd$~o#;COa&Z9o0vM=CR;8=sBr=il1ULCV=so`!>7oa}Z_Q{?C z$*cIB{;-{O=YId4*8e)+Y-an=2(||n1-Ay~V`(UXz&^2Oz|+SECe!u374{`-t2)-1DtFcae5{T`afKA6oI#f}gRn2V(j$ z*A21y19QEkz_qdG`E$nyX42_cx@}@v+QPrh40G*F^R_0@`I2yMtt%$(Vm&o9tUgYR z#^;U`qsAdd$Usu;N|I5BQw0C2@V9H&K2Oe+am`HssB*}+);+mPVikiqmbT$1b!1qL z5y$`g?|&umzY_Rg3H+}F{#OG3D}n!&!2eGru;w}|qFmd`6iNO|-D*yE5)$nL`J?_m z&Hmd`yZug(Z+XmY<+P2BIPug~aZJ)92CfokrwlCQBCEK>zcC&5UY};|2a1NkRxojHr;1y%G~bk*2I6req1(_w{?O z>v@0nZcfv?_wV+*-9F!czOUP@p5}f%uIG842X+_sd+c89LF^IiaqJ1~Y3w=d zMeJ4VP3&FlLu{J8)IT;eHaj*4Ha9jewjj0$wgk2`wj8zswlcOFwidPywgI*gwi&iL zwk5VLwga{^wi~u5why*Hb})80HV!)uI}tktI|KU;b{=*ib}{x7>?-Vf>_+TY*zMR| z*hK7p>|yM2>~GlLu@|scvA3}Iv8ne_kJv2O5Ns$mFSa1I2(|>aG`1YJ0=6=?8nza; z4z>Zd5w;n&IkqLXEw%%;GqxMHC$>DXD=cd_%a3$Y(z zKgO=WuEws%eu3SL-HP3T-G%)gyBB*9djxwNdjfkJdk%XMdlh>Vdl&lIZ~e>ux;=^g3C z@W1S@+hf^3k^TK|zuL6I*k8ws8i#Jr&-OFk4a&d#bbEm9QMI%GWvel|y@5vpvSy9>w-J{QXn>g*f0^vwsxrSKCQE`^Wx+AKgDz)91L={m(f2^+gwl zor?XZ`1JUWN2e_#;;fY8O85rsPV6D9?th8DwSS0X|J(!`bTIkxnLylnJ@rrVC2<`T zAm3Vkag<{M*3j;vuyNP`=|o|*oa6XA0c(gi78{RE!2VNwL&(<%Y#eqhb^>-1b}DuT zb~g5({A>Bfqw^jMdB6B`Qa{>0R;r)#!nJ+G-;}>)ufP9a+y72y{}}#O|4IBE>-b;% zKl;Dy_;1DEn*McXd#tnlj`n}9W!G>4Lh0P%V6iFsS% ziF*iEx9?(m;E0s_EoZxZEll=j`w*<|e^K{8%Kpyw)V5gs^w~ZHtNZ6*yZKr6KjUmK z&h}WgM`LyW%4|=9Z*aCZ)cuc%|46Lv-&XgBZ*aDIYXy1n#~x>YtnUB1?hoI9wYwzy z`RiX#&HHpT(!{1c&YQ5_W?_R0?UD)}%1bZE?x>$oWTd-o3l*AB!!Tl-}RrL#*x{c3imrt@cb$ z**^(;EVVTJF6lV{5avmh{O>>a@6Z0&7SD<d6&Y)n;PnVe`ev-`%mAe$X4TzrkMd7iqNZexT@& zz9M$yezDIS607^0g|h!*>>BJAY$Emu_6+tqHtizWKL_?tzx=~HPk6sz9WTnCe?I4l z|I?`dAkK@rA!AYb*Hrv#uA}t)tnui$RCjc2A^#|_?}Ms; z^kmDvXqUWyGU^Yj`v)^V((QCxCPw$y{r(~SsqC-gX-$8^|G5839iKVz@BAP4zohX~ zewuy|=NBjJKmYW<JN2*>SgT*e>i(|P z%~{1hI2WMDXV6v|r|Er@z8rsl-X~`NFzgVv>wRpk?&}X^NueNai0P;xnA@{b8rBIF zL$f!p@V7)EV^%LI?-kj<{`5YZoyq89?QbJ+taBf<`7&HIhhoKx@@O1u+*2FLc5Qb0 ze!;zmlAkyn-{)^l*RGb@NA@(ns|WnO0sTY%*0?Y6_v9S1*KPjJqyn7%f9C(c5;(;8 z-Stw5c3&U+9JVWV5OzFvCiX+@I_!4r0qiO44Q%?CCFM|Tacm`Qee84CuGm4?@z|Nz z53%d8+p!0*r?5A$>A8OtiY<<Rnaxzx7a_ki!^z{CmGq-s24Io0F@P|0Qx+f;st?|C_(O^#5;CN}dY&9+jN_`(N=H z{yd}k;(z=5-#z}{D&lh`k6)kH=<}PwZ~R}y^S`uCdmoAW0skD;fA=$+@sjTE{;mAq zteeWewcCH%errJT^8crFd44v^$D>P_S|&WON#yVzHAT6B!7qH5c^vZu^Xz0?NL>1 z?Yd$o)Mh(>Yr1NWO_6f=4SODY1A7;nX{zY5VMDP6utl&Xuw}6ovDL6q*m~H8*k;(~ z*jCtB?2FjG*a6sK*pb-Ruv4%zu@NUy^Ot$ z&HR>>Ul=wVTM_#twlTInwh#6dtj4W&B76!~^Q&>a4WENuh+T@+djJ2mTt8+1E!gj| z$FS$H_p#ZgrF^{oQ+)aU)HkS8r)nU)LDOeT2C9^OyljN|3y3UR!GeGLrLiN5#VHI) z(wNl7Usup^kjCEgI+LP4t$$&R>pg?f>zd>|$msP` za-PxXby9Mk$>{Z2a-R9m>$v3H)&b-0#k)_R%jk7>avo~*{%Ufb+oW{elg2&) z3VNtl`v2J@jq$Gb|2n>vZD~xHiApx^Ri4)*WJ*b|cLDz6+dDs}HJAT3mh^h@8o`O*0c>LVe=V05A8&2;cIvVJlOv2{eH0jr7|H#=Sk@O6YsgM$92Ah z@^e1VYZ9p!_2U(MN^xpDdLN$KGA6_pl;=N|_p|gqjrY9P<2nyR({1PT&_Cx}Xu7YU z?~5NfOWU;^;5siu%V#58=V>TEihrGtq5f~9kHf#}y>FU$aq7Gcf% z<*VU3&qVnl_)5}Mejl#$P?Q(80ek7{ycFdP;5tu5c`vxmThaVZgC{uo-2m5lEvi2T z*Lg0=)7pmT#iR3Hl$U}hI{steIxj}`gWx((M)_R0&YMxb1)k)T+iAGYt5JPcd*FC+ z>O33e72rDWMtN(v&cjhY3a;~Vlz#x%c{<8>!FAq_^2>0Y$D=&A9d>(hreS`E@*40A z4(|-l?C{s&*&XgZR`vYnaQJ?BZinB6=XH1?+ktp~3Oc+2yokeJf|qdkba-ipe+Dn- z@Z<0b4o_!q5PR`dc6e!cHHSY9ujTN;@H!5E58lAxTj7ly{yV&x!?W8P>t3AA9sUHo zrNi68+d6zSyo19(f_HZKckpfwzY6c^@JH?N$&0g(!)w9&JNyOsV28f}AMWr^;iDYx zJtp?j9pmu(@Yft(#17j${TmK{3O>c*ec;m_{x*D;!@q#P>+oOT^BtbS4kWyI7CQVf z_(u+J4*%HUL*XkNJ|Di?;a|hoJKTG`@5S?l!$a(F(c_yPUKzgC;m^Z&ID8Czm&2F9 zzjyfe@VySd4nOGdymlbu#d*ZxPr{Eoyc_(4!{3CTcKB-eIfwrQzvyu9qt9MER~=r= z4um{@)8UQacOCvR{Gr2V!P77=O#A;Y;Tar$0-o978SN#I7f*JFmxJeU__Ofb4j&HJ zd4n3y0=Uj2RK5dV#PRb7T<008-dnfZi?g(&uL3XU@DA__4j%`v?C_7_I&V?a{Q<7? z7?t0I*Kz#hw--WQoI1}@^>yHl9Q}*%W)7bMZ|?B5@Rklg0@rzynr^C`5|7TKR9*t! z+40i^uJbHa-yh!7(Z2)lhiCq;JY45-DsK(fd7aAR z;5yG!`A6_6q^o=nT<3u*zYf=Vp~~~wg;%{eb)Km5I`D;#{~mCiN2>a_;5x5V`3AVo zGgW>ZzTWYl-aa7r;?a4is(%cw^HPyqi&N*lDz6FGd9cb~fa|l|I{XLte23qJFLZeR zf)eLP4zC0M*x@h2S2%nMe6_>Z!q+?e2>c6&rwT|sn;l*PzSZGP;5!`NAHK`s@4&xz z_-6QCho6KWba>`M66Xf9A{Gr2NgQs!kcYgxU;PAch%nrW|&+hPoMI_D~4zCB#?eLy(owu+3|66dK$FF=n zyolrHXLt#RrztA&ly-PYcsYkRgI93)KzL<`zYEuO1JWA#eFfKb1eBkK*Kz!0Ehcd` zaCilHBZs$!H*@$Xcyot;0B`B=U2t8uK;yg&*L4h(=PoXBc6R*KfOm6vXLwJCzYg!? z@D=d>4&M(S?C`sAT{l7FEL1|`)O8e;H-L|E{JaEz&EeDGZ#euj_!Ng9hfjBSx^RhS zmcvW?Jk;p=4DvRnF-_6yIu7h4k*L57y!QX}Jx(-3`Z{fPmLwfj4xUT!4`r;+M zI79zj?;(J`sn0`nbSEQ(EHG z^%T@kb)SbCUDqI*_}in`^$YCnDgXB>T-Pnoa$5k8q1-Z}{|c__5M+QKhwJ(Rnc=C* zNSuA~lL=lPuIme^z6*RR`Yh;Y`8?FwIdpHSSi66~Z=wlL(u0x>Z z&={`k5@B~yGx_*JiQw*;2hP0fk`P^Ql zGEZnKewthU-=1RznD1k|3;)**|GK__wx74*y54~Dt?)SfD8CHX^$3&~EGPNW^$C~uh#IDaP3cChwHip z+CD#pC!yDROoHn=1R783$E6%}{Q>Pyiotc=0p-zfU2j19&t7ny=arp$eBI}^KWAQ& z=6A8>|Fu0ZpUWN&{_iXN>pZV4aBn3RuRL|0m$uKx$_o!Le<~b(d!L6G!+a_AGZ}q6 zaR$(TfnMi_X}+$Y*ZE<==pU;f>Bc(gcJz6O(RC2i&kXblPP*IBM-Zot*#F%|pM+lX zRl1_oqppLX?V+{LLrs8r?W!M(Ue`U)`Q$Ws4C?UOF2ZrYg+ET|C8!90lDGb;d$Vb;cvn}gl~bbhIgMJ zz@8TUUlROn_%?V@HHl{>^CGp~KI-!j`<*Z;;XLT;z;#`SC*dvdli>Jy0iNjaLHN1k z_>YI{x)SRDQ~V@3ezw3bIeagE`m(Ns#{U2w53f!9*{e%An9EsxqU8{P2Rr<6pNE)B zj{kP>0P9hx|CjI+aQuvfM>u>6e){4^uRX~Vm`Om5ssfq@V*XjfS)MV)zJ7~hU>Zw2jF z!I1Uq1fJ;d_V`)p_@4kza{Rx8pCrf6 zQurl@e}SI}))mqCPr-Fv5^bM1@ne|ptL;CimK+zs4u90=A!eoHzb-rpuKu6JPr&ih z)pD=j8*@w=vi5s3;B(;G?|lgmKQ8($wExravvBnjY8$ZMuO^95{Y1g1z|~Jr_|w0L zUj590cZaK=ZSWg#^>fkk|296}|JV`oVoOtTMb)6U;Z@=hsd%Z@zN1%Vp za<5*R)l21*XT+Gz=#9>kMxO`|cK9#wfWxoge?fh5yqb&!>iqY5(RtZ&7O?9#TD@2P zI=?+N@ic(zymjRr;W{5(`Ea<-GuLvS1=soGTF%RTZm&DYS2*eJK(F(~_4?%!T-Ui# z{%Bo^U)QzK_EQ%g!@Tn}`0oMNb!=2W4X*3hsD1-n*R4@~61*?|)8apMJue=cFV>mS zd=)p3-qQAN~0l@sm%C*#Hls0p^GQ@DF~D zqaQIqg!|Fo{|9|YeaTnhO(HByL6n9UT_8MrI>|sIc*PHex1~Y!hUfcK_~`VapA4V6 zLb#6iR>7C96yA^W*>AbupInu3T`2qy^qcT=4*p03iT{x=#ZPuICIUXkdvV(S2BU9o zx#xc;{Tx~#9y>%|7X5MGf0)UWCYw(*-5dYlCvCLk z>)t6jLW|<3ApFb^;=eY$5xf-Zy%mNJhBtQjLU?a@e)QkNCpr8sJnaEVcPRQ2Pf46n z2ZgJjrttO-9|#}e@VW57Y00lW-TS}w@QVJ&q-=i&KL+pNy#Q~2nr^0s63+y0}( zHxa}Bv^}?mSG^~3ULwx%_*v=rSq9(W@E`G0>c05tDTjec8zbo!W}%N4@n0PNBYX%a z_^03vvkB-g?o1!cz4=WevS#x=&}_{F_z;K3`#j7xF3|^*?q`;J<*+GO{7)j?gYbqq z1q74sJ$R}7!t3Iv(9>Q#A?8p}7N2N2SF=2&^GE~By>uff|1S7>9zGY|9Nr&(32vLE z{~HUhSy1BH4xeGUS3Yr0Ied&hZ9w!~u9!{eFQM1+*#{5Kmb~1~!VR42HEEuaeAO=` z{tL39faP91@%WD>&I;%c6&C$%`nP9%y}cgEBIT*qkDV+}sXPbbXJ#ewV{fzgzeDgJ z;cpX91zWIQ`8RALdL6&@fp?D-eg{8az;DzM&azJ?M+@=OsfzIK=&QqH8VTS-+Es7K+0hWymx!ydVcv1-Y-`8 zYV=p(RXYmbN<0NxNt};#5k8LipMqcLEnM5fYJQ0sjWe9th^YrUU?|29gv z*83A}#s3-dRhsOPloS+ zkAlyK*XP>^`QfW9PpLe=L?8N=#G~o{1Ro3Ujh}1qQ`1C$l=h$bdD`dO!bhSn1dp02 zJc4?!2A}z^@M-vI1@Aszcsh6=c>NEA)7;JL@GXml>pFh%@I6a}e?UAd-~*NlKPtxT zfX6Hsa1Z?{c;gkqex(PZ$ha3Jz$*K5ME}p@S^zd z439|=o`)0p2zd9M!gtX?XTvLgCwwjOd<;JeuYv!s;pz8^{s{X0@Y=r%p9#Nfc}ndk zLkB5`nm0t>2z`0WQ_|N$U*?|Z(^9|BS?-Nn7Uz)jtR8nG(MLQG{aE6E8~rjz|0Vi0 z4@F-D|NGFdboBSoA4(-DPRDweuL$n{{;N^ zBi$d-_bM;?hUsO)C0`$ARxz%|jmg$Y@~i7LKf{Iy%f0(=3EY2+#Q)Pi4>7vVa~XJV z%Tp?!SMVQMOXAst{w?^by23}NmJN&l!OsTtho2UG4gCB9kAGfxIe6yIlCSU%!YjZl zS)Njyb?KmFhEf6%{$ z{>F!*Pfhz-2fy`^aBV+_;1P?3*F>M{1&P1+65+>aw`JjtR|?nlU|Pc~a>IUxY&PTI zJJ$<%n*6SUhkY(Q9R8E#DV4)X%e{JxD3tv8z55UP^j#(XN(tisXUZ+oa<89Bpr45e zmkn(#PbuBL_?h{&_{o8vDe$H6F7UfTPda&5JY41g8@} zREsGG4}z=zMwX`(|8tgm?J#tQq&SN>d%>5(Tc?o?qv1iEs7I6VyYPv-#n0F1KZnO8 zCg+FXq5Fj2Al;krEc=u5T-_zk)CYv`O)oo?uso%FRYyPhxabSwzmw%&KN);It539_ z9}YJTe;*!j__sc{{c2HZZ&mUC2VB?1SO1~*hK$!fb)Ed2=qti?z5MF%R&ZTEU;Vsl zc}n@6Y`IsD=}$?1L-Dg79)4Q5UVrU|ABMk-J_+9VjOg_`>pFY`{3G-sJtW=O-$k$2 zmErK5XOr_N_)d6V%`ZIioanvpba=m);jf+-URJP~01vw$JSs>w%(L7p=iqRu7cGai z=-b>7eH{LG!=HN~{1pCA;OANi@uSDr9m`WH|Ma$?yz+17t!ZU{MetJ^9_R2F_#(Ky z%=CW);SU@>2i{88&Y!M8stT;oZAkFPDfVm?XuF#PlO!WmAP8}Rd;gdd}y z$!#z6yz<{TQ1}(%uL{rhnsB|2h=unZFMJ;Q@s_7lzq8TT{z>#2|GIzBC!kM#SoHOz zd6^sVJ$&ba&o-DKdqL{OzxbHw^}az(cr-kgINQPXyBW>#|FY%Yc_D`WU;B+W(Cha# zbbK`rzKM8Lzs&cO*F-qyoo)Cp@0@oI!Xx2?W3Iw0Iy`$Hi8IRKrQy{b-T+?L;ho^o z4u8dRuRQg;A@607f}7&&?fqod8Pz!7@wt6|O+T;WpHI;1cS@9Ru{@=Ave$Ai-R;+; z9#ww{{s4ZH4kerI06qPU8=@}Ao>IC!@l*en__;|tnGCOaTX=Rd zywdk??_*QH8s{GLYl_PWR@?bSUms=$IPqk&Ck}7C6y^9SZMm1P^QpzpARWiTJEapo zhvRoVei9r%%X}VU0?o7dIf@`(JK(Vn{}n%`N;Y4q`O0W7xV-a1yrVA)PjYxIpWAVu zGQlMlJZyoi{W)0{sn&G9X~&!ukPrR;8700Zn>A=X=Nn8{VBMN1BItAEBr}#K6rFF z;l-)1@|JtYU9{sr8h!7_MZbdlwucueFT4Z1AABUd0^D1#(2H{wd?@iOwcJa$v6Jp5 z^kpiF|FZbm4{rlsfuA$*OqE0*0)Gh4S6R5;FU>Vb(v5M_4TraIcs0wt_zPDNKOf^i z2EM1N@D@~jSJl@NuJ`4J!9R==9!}oSIq(+v zzpVb@li)c9OTLChi=VvskATm7O1SFl!wYj`$)1M%Uw`;Ccmw=Qfgf%pe(ItB93E&a zyd(T)_^a?+^oO_L!(v3=4E-ZRB>r#V-AK0#y!U3dgl>) zU!C(f*p#>2J74H`1V`iNX}Eq@P}_fR-;aI&*|}brK^d~Y(0=Y&>{GsQCr^in;Jl`L}cX7PG2tRXM_{Ws`XZni1W(dKBsWXt)|aIjDC-?I{Ma@r&MlT zE%)l{DBo*bjD8$^Yz7%1cOlMr_?aN#I^OsUJ|Il^KJdP#xlxbY(TMfpU} z<5-hV`S`SDW?o z`2NF8l5^bs?sI!zk?(nMSeUE$(eHk0J5N7G%AxM_;#kk8`QTIGbBU)syi9x1KS2dV z!#i~lJ`3IvekWG=XYe8LHGF?m`>V-t{Vr)$GOz$%vAg)GLOko?z2Vv*eo+3R=-ZL* z1$dWf!aovY(u|dI$TCNOwzq=tuzA8?Al)b6aqw^8F_wGnGeG(4@%TLYhV#XbzArHp z{#?B9{KPpOK45|HG>qGqz?Xg^d=mNuxPHgAOlk>eAH372qCZ8PXW;t1*XQ7OEcg07 zb0e!yBH`J_NxqW$%WG&#Zx!0fQ_hKg#e;waXnEkM^+)vQs;#u_iU0H1p z-QoJ3*(t;`-1lSW3-euCE$0d7llU%e1M~~v;TxqK3c|mJr~Oj6=JyD^I(%Xp+2I`g z)-lm*|B!0D?6%lR~X3p_ln>~P<5ubn4R{v>NMzb5>W zQ!kHN?zIQ~PVhYP^%#7@&ywy<;&~FT-xJn;vbp76`;2nhXE&dRm;t1VdovOq57%}( z!}65c?L5o9I3tc{@FS^8Je%P?;055P;S=DG!Lv^gKf%vP3oi~Y1|NSy{M+ux|22VU z`b~IAcwcx$cwYE)c#Ol>!7GvOv*>rj!_J8R$?%`yi{WM9m*M;1A@B^ZOTJFQJHhkA zqkk9wdcLS&x!2As(#~l4L*a1Jk;in7!`>6l3;=eHbRd^405*0re-tfHW zccqgZ*1|)t2rtQTu^S$BU3fJ9Pr@g_i@|Tfm&5Ha(*I?)0|2l6l)WK-R3Cu1fb00V z5`6Ye(c7Na|22g#hj)X&2oJp_`a>Le6D;@2xjf~p?f*UWXT62x?XLhE*1&`A3;zlK z-@z-ga8!Hr7va-V1^LR}@QiOreq){%z8OCS;U_{@NCUduLb!wui_s!hH=hOa9v z`g-t0_&s<|%JVEd_%YF+g{ON{;=fZ?_-OnTgO4aDya>DoJRWXu3;Vy0@SG8%Z-oC5 zmV5QM(kahb@C1jihbKCGzt8RWUnqY`#g6mgF>viqZd>lvOAOyt({WKY+tGRaXm#rkll{(EanZQ*NP_dw!}mlXB=xeoMmV!R>7d|5qKJ zFGlo@;W6;;@CNWsmV4*xIOlvl$mjMwL*fabpJaJT=jGY>FWXVlt%m+*cn`Qfj}DtE z`FekW=+~mJ3cuA!cp|(r{F?XS4EuY)arz#7XJ_Hj9PdBFgS!dW_xAI?CFw4DQMkUh z-x{9OQ@FmjKNEhXukguK{Bp~^{``_tk2}%F4HUhOlaImIz-@Q!|E~Ie@|s&5U$U1m znWssdH3tbPi@p%N7d!-B6+RN)5#9ox1lRN6%kbF2;@{q;_J5P%hv3@(EQ7ZhBKr2| zzlLvsYr4naVM9gV1^rFp{`Y0BjX@uzY{{LwB z(N~1mp#99U+&k_n@_lnXt{0#Wixa*2-wf{y*X!Ja@P?yBe}XtK!ppuY{8Q2mnj!g3 z8Y}#~=GStsUCnm-lN#uEj~6}DzfBu>zX`&(lkUs#$k&BG0e=(T8y*2)312!<^a1!@ z__y$HiT^x&#+#x)3s3#F7a_}t6gujHJr{Kfji{K;S1wIjdddl-1c+nNYzbDn^euMDK=2`tN`&{_EM`VW)@HyWJ zx6RxCt$>%^BfKJhzJsSu6y6&B8F)MRH^iCt9f`mAKGD}jUj*I*{t#YM`F_#ogtvl^ zgl8b0KJdc_L_Y*R9zGu40zV5Z_xhPLv>)VV4Lk`h-i-McKhGQzQUyQ9eZ9R8?~EUA z_}reIXy@9`WU~#@>u)2R{x;O|l*Sc>EccGDjXz1c<4Cu%@5f$k*UIJ-jq@q=wGRs^ zjXoCM8(s)L1U?nMBfV^x;`_JnrA*4=13fRigTB=-;=clZmiv0U?xpj7%QpNZk>5!4 zhb>PjzbAdYz3-Gk@|B4GHhu!cBU_EhXm1dC8j! zd;+{DdQ2JUe_h+*Y6e zD+KR)PW-HaSA&P07d{W(1ik^j9{xPM&mW>+41Wop`-1S@@R9Hn@MiGI@bVW$zY)F^ zegUrYJHCfsx+MBH>E}wSwO)DHm9F*zf;_!e1*T{C)Ua@RHt#@a(Sx9p6&Rz5dVy$&qyl{c7bIg|CEf zgeSlQ@a^yW@C@^%UYf#N!gE^g-^ZAh{QOcF{RimVqOS-y2PIvdFI*EpV;w(@;1e9)7C&PS ziJvm~?}dIUdO6CB8G?QVdX47|c&4AkPc8hs2Y(8#=aJ>`LGXp>x4|DhEPfin_rbfs zUx%NC{{gQJzXMP2eNfW=3Xy?4@lyUJatq(-Z#AYeym^>#y)V}Qe%eUO)bn+Wgvw5Pp*5;uZMfqQaYtF_YnU%L!=BfMbE>DW$s}{jh4H*XxDD@SrNf zU#9=ewm`~Zc5UIBugBrrYYEqO-UeO~KF!E)I(%xB=riDdyX7gx`2+ey(#?nd2@_6A%@OLct`sbwf;%B_b%{qAB4#Jn^mJOSHKQ=cvv-%(g z{f|Dk>CPct;yi($z+myC_faofo>INsLZ2{P^m<(9vIk~L`uvuA@n0Gxdc7Vg0}qZ9 z{t+rcNn17k%0JbX4h z4&ERB0o;rgz2<8bd?oxF^qbYsIMGi@BOCU>gI^b36a6pn7l1zjpYQt*G3T9fTZ6tuMe(EKw{7rATwmwF&mnkxAsMI+ zA>pgO|1i^v_&F?0YJ0%?_jR51MT=YR)mPm|q#QKe`tXuBCBfIE+RV%Fe!)^QI-g<+ zJba!Aqr{zAV!2nIFB1>geC>1lz4cp?U*&(`Cv2#syM_E_UMz7o8YEyGJRf|>*8&z& z4w067`CZ9(B{)r)EJLs`F#();r@e`=MwbsMWq}*Af8R|hgGBl zpm~{t@ZTDVo@r_39R7oy`P{)(s!nZvy5w=Eu1wO5aL}Ev}{x9=#iRab45|6!&?Egx_za5v! z|2u?na((z^23!@;w}mINK1@r>VW{O^Kbh#9kKRQ8;y7`Dd$SQ&gY=i!kL1?ctKaNm!8UVkR5A4wkKnTtLr z<)HolC-8N3#7`9Z!@eJT-gMge9rT-8NjxJcx6qXm=VTu2yo8^c@U$$fqR%DU!`p;P zdp;)a%qYvf@{glEH^omp{F>7~zrjym%3(74ljtLya(;mRaIhSwjY&8ArxO3nR?-f& zzMg=$2ohll{I`L(;l=;ul*17ChF?V(js9);oKqsy_p+A4e`H)&0R1j__cD@hcldeB zz5Zt({T0o@+(CafAY=jYgsqZ%O)4yWI)19aPjwQZw&w=$#K%Nm4*d)8>T9Lko`H{p zxB6E66oxN{uQ@64tNusJz4~o@DS3Z*(dS_%%ISyGuNM8(N3-~zgYjPk9v3Q{YBWz; z?j0`$e~|vIDE)Q|^oN}B)ll^F8BgYvY@656C*>0Vg-G{(^heK&Q1i9@4^Lp@=lDf# z_TVR~u=shJ4Oj4!n)6h1c#yr}@5MiDn1BoL;_xBgBroUVVX6G~5bCst2IybsK5$+9 zbb*(uEhYAXxHGS)|EHyXzkH-N;n2TtKe0eOa1D3=aBCw%nV_E5Z#hFfxdbPA;s}?2RM+vi=R&D`&sVQ*G6X?`x<ApKjHNAP4KhP*W2qO+M%Rk%n|g< zUXbH@uo&|Y|L2|lBy^+XH(P|%ZwLIBw%osNJ)it|Z-jnMI&s_&OFTK?xxbWl743|ZE5Z*s z_c5Na+&iz8=^#fImlLKxJaVgaB)VR~4Bt;)vyA>k%YOs;>FA4c-KzDq0Dj=8 z1YUvmzZTx<38|NQ_&MhL4>LPyhuSY)^0~cU_$~Q(GUH~+SCUh|r7ic$zwaPP*B+k! z?x5tSeU03i3ywwQd5%{?c zk9%!r=zLNNZhDd&U!Yf$rwZo{yj=PNw!rRrn_OGWu;D&m6GaE6=_; zl8;L-`#j7ncKX9dwo1MNoQNL9e_6}D>zem@{{(EFu-rSJ-g3q#QTPd;Ddn%@!%pzL zU8NnKmSQ!1;Y+VezILaQ6sEw3Rg~jP+wFYIy?W{Hti!X}=XO4$(~td#pY*?o|1J`i z`NP-S@s(2!!P}%9CNcl+O)^{&ex#nnujSkrK9T!lJJ5H5fB3tk+ZsLt?ymd2#&WN| zhB*D$ZlBxl;5g-c5I^pBpl-n{GI2r2u{pn%e9f&V<++@AidpW}V~jJ-c@llYXvwc0 zzt5r{#(0V9GCj~w+bZP4G?Ktu@HP+A`XE2)F7*A_@4qe%uQdQ3&cZWuNOuDK#T-&T zWf&05!v6_py!Qn>k8}O?BYu8m{8kMAr{Q@@NPWFbL8rG3%!~8e9>V7rk{t@c+h>z< zj>S(^<=kJ@GVKoS33Pnrf)?5t5fe4EcfC};{KQ|DgXDhuMabGo$+CB^mndG zPG3ep9^UEuAfLp;KY_;$7jOaoE&c16!7>v$}KpK6@R&(TWq^%*>Y>*&Ss zgYY*Q=X}6G>b&KC|G;-JBhf#yi+mlGa@Kw+F-Iy}U%@7b=hw(h1@yx*i9VbS&%oXF z=ljCH87RUq^s_AY`rGQx{it<54>3ob>!$DU<9-+K0{kuRM`=7kyQLjQMap?!+e1;y zy>{q+_wY%0f%ei8^nBd`-uF4_PkM6TjfO8~oV<>FePp?Jyu|#F*(c)7&I|CleZI{2 zLEGW4_(}R%`t$G8i=#X6sI3`%@E-m{z7zie*01OcFKM}#ucZ&u`6LnE2wt4^jh}$G zhc6!_`YmL5F#cya_a)wf?{tp$58zWD$>Mv~{BDHDbKRlmqcfKK{XnFYKnn^Y>mJG1 zQK#Q{9KOa$w+=j>adIB=)zxy(fAKaMeR5r@!3shBON!U|K7`&9Y1H!=6hCsxX;7PN@qM1>GKd1U8c=$DeOY4Bq#OgkOE%5v{`406VS-=i;2zVv?1Y4|;!b3H{l zXR{5@i}QFY0g0I;fe83Y#x2@z8(8igF9}Zlc0ym4=Z5u&b13@7&UMg-=&xRt1d9^S z4)_*l{C3p$W9Okb*JanyuZxu9J&zcZ=LgBxrMlu+uXjqqXLJ8Y?}s#jcQ`G9^O+6P z&vGxn8=U-(M?cI+2cYkxE`vvK9U4zL?1iW2JrilA#{3SCPb+~BK>rYan03!I&fGsr z`wXXj>hW9Fa<3lu=8^K$ab^u)Z^x5PyKRQPQekO;4N12T+*FhUJsLh4{s{G(7rxZ@ zZ`X@XBRQh@&35!zIt%H5{*HQc;m&iYQSc|?e7Sjw1m1)9DK0?YBl;44i0hP9vYXj!xz|pH z(7$QD-0-U+}o}1C{U}Yq@v4$2sG!(dZXFkT_$Bf1a>=sH@*;Bh=J7)H9c;merF$Yr?+wKp!j@}HYJdHFm6KgoTw?D%PI zxfg#I##h=-`oXU`4t&eswnfhdz{c9)V%#ggy^`3Zs7w{WeFx41SdFuxL3X;HS@IX|QkOXOFM9 z^AP!td=&gL`hHG78GKOUf3=n5YY_Tk@QFMq?gnoFpU3?=ZHIl}hJ^w8%do~ww%qUk z>q)!P<8+zNLrtu6J@o_nzRq!R5&xNZfnqG}Htiwtzm4&dmQMkApoXM-o8!8Q$m zcL{JXamK^5z&ZVz&G1Fsue*l-eemMBq#>MypS0X-4@u5AFw0?ya}4i^l}4Y}a!-HA zIiEg;K7syF`;8{>@Wztv%lK*U`?1g8nU@+4AB*1LNADXfhhKm{gZ@X~Pne0M|IvQ? zEc!VIrNEw~y`?`Q`C3|1Dt0D*idpXUZ$q7SQWO21r4s)N+Cy7-#Rk&eKEY2vc&}@c z?pF9T_y+DP7K1Oe+$+zc&iOaN=XuRk=XvfgKDYOsoa^7TM5?^ z4lhbSIR$+yxclAk-tda|#Q&>e%mmB5@%9kse%gF^66=R(KkzkvuCXxQW2C!J{pS_s zYw(M{AA21~y=eXB{8{olkNa1J(U-K`Z=cUg|DfsCK))i394R~H^kX`}dzX@mjl$0m zc*FWq@4P-@=HuVs|8w-4&__AT&l1{O1zV&+xjH8EUy#J|;YQ`OJaubo%YD@DtB_0XSV12|l;q z?{JRag+906#b_Y;?TLOPJdOd8wv!($_xk5k?~Cw+6t}qm-&QbrJIRnF`d-ULukT|Q zhUeWST>aF7k0GOTWwU7m&zVjl(Q@c*xp#a8oa=>g=$EqIOT3Za$Ci8bo6i|{ZL!?T z*KVFq)kA*(9-B+@rR&n%fbSumcIY$xB7O?gm3B3XejqP7@0R==UtCw3nFM)VWPNIXx#_rm8UNrKbh*WlT2Ny+OvRB2C0eg`?nOEI74H3OXf zJQDt!)1SBTx$RGQ{$7f7M_KNb+ga{&ews!$#KR*ir1rs1^k4XX?0A6VTE|gG(C=g& zY#q;EgEy@y{c~OXr29?My~A~DEqIvnjH1_aD+Pa0K;qHyK(ysvdpN^&x3=3C(3j`E z=y^!|sGrU!PN%sTzJ@}at;^zbW1fP_A)h#J}A-oLy zSWp_DG=8Gb@ylMuJdger-pAJR=?9PEJXjV#Q{fxO$bFKR;7i~q1_}s+?}z`$ zdy;R#&%k53p_@;dueocv*Pq8b{dvAqlHan^B;7yKiK9yJ-4i6g`ufqw~~@raag68tClsgu%jiowsr zFT5(?Iy}>9$#2>N(h!EiOT$<3-cDP13wUR~8y*QCXt|f)A{0Zm;47SVoAq~z=RoTqUpXCpIe6@F z@z1cv)Poo2gV=9y{Jsp|!gE`OYi63|UcDqxFWL_0p)Ye%{OkB?r?1az7CHU!FX)$Y zqSo)0ramk2@8JU@FB5+$c-mdFghra+nR7B#Z_{?Z{H1GLn|1%rjt~B*d z{jRm#?u2#JzeM~cE%(Y{Jo7Qz z!yCXSR+oI;fp_)&*y};}J}SJ76aQrVtc;Q4TH{{;PtW_eqrW#yDx?$*dK5e#FW92E}V zhW`=HJn`S*0~n{tQDfKXx+rme$9#?Y_$dVsYcBbEAKnyRll#MjY1&!t`v#Bn7afX>ZPW#VhxtCuvIfEZzCOMo;Nnda4%NhSPga78- z-|LK@$LU~nyf+D6iT1DO@wMB4m@#cVdBpU5~w`+>dij*T+<9<+U4fydHsyo~>#s}jF)>bD>~%*j_-%e{Kf)I#Fd zeyIt3yz`!358scy-sFCDFzLR5zU$-Srxh7n2v2Mw=jH2^&p!AgJSTk>Kj(b^_W6Qy zeHUbJP9OMRq&SPy>(zRwvK zZHK$xr%rB@(;J%yPp%`+|Q|izOggEqdvR@ z^L^TrZb$f}L()HMxebErbxUY7EShd*Yycii1_+GiyCO^pAwd^*E-&yzFMFsU{(8b8sDpK)&{ zqi=Cn(yhXVW$=pJS9t`!3;uw4yGLmcC*iGM7a_L;Oxl}LUuT^A9z`wp>bGheiL(Ul zzb-s#NM_&B=cF48cfXT94DPPaKf`jb+=g+yDGQ^W7l${kE&b%<#8Vso0pk|E9&Bp4mu_q4{f+0*U)v%Lsu6w$qEB+3zf3_t zne}b-eT)_G**l~F-owv#@NdscPK&}%`2NGpVW*v3N8gJFDSDlr_pX$K`~9Yh@IaFI zkHdd6%f0?N#<@Q2fxcIm#Hr`&iN4;h%i-K#nuY$#TT<{9@&Adhx9gm^_vg`PDJwlQ zkN?fDzTWn?thc7eOXxkx*BzeA*b$!pD-Yku^{igk#K8M!llb+#(ARSBdf7Phcc!9` z=79T!_VWe23=<}_-uJ*KFrR1v@m%oz+vnPpkH(+*zQn(Ovk3LMNeOr*)&Y12KlLs5 z+F@F!+&ZHFqK1@P4r$hAD13V!0afwymhZVd>R zkK_7HcwzVu%I95pZFr@Cv^TBaHt>$TPpjjcS1kAP8^L?6Iv#rmec~W-oE!h^;a|Qb zK+AJ4Jf3yT(#!DLoW}p55^_B*Q5ka|p3^DkoDU_xd8SEueksk!M8cnAJ%NJ6(*Qon z8DG5!zdulfVd&q47vudIt*>~?z4K0-b3gM7^usPnJk#)#=<7qwJttqc(NAWa@E-a+ z*1OkFF6X_wV(@TyRo)A#2X6`wTPE$TNIu!n*K)7lh;sUk$>_&24%GgCIXss2oyy>U zGrTPAssKC*ezvUiw=Lnf;UjqAu?6ivPb$e*-Dm+z7)RB#+>0}T>(HtAX@|ZxH&C@b zkM#BSy5N$Wr%IxK*XOogIF3lxEW^)@TGFDXpx*-D$@5>$*J=1I-e1ywES-HX&8wF| z9i^ca#!pW8Jl1FH1uqV-&v;Ldi@NZ}JRhgJOmBFLk>bGK9`S#Z;GLM~J{-One$aWZ zF9CkcnHT>n-2LvSNhA5XLVF{7CM*2M$E2g>c9kg#|D?AZxcSN0lkl3nPg0FIpN0oz zkn-2|+|_cw|8(ZXkA|Bf(hoe1pLlruRjGIFx4*`JB*COD)jP;JQ+_8q*UV%l*$N{EUT{XZ@T)@I~;7#HsOY zvfS%uhB)KfpV8lYRPv?kRo;V#e=qSfoxp^oljCc#bA4CN=k~sz^L|AO%e{6!mG&7) zoFn1xdXkgjzp+k2JM>H7bBMDed?S1#_wm}o&sgq{bDa6w>C;O*(cFK25`AIIy>UW< z^PH{@`mubt#=o4j`*ia4VP>OqpLP`bM|l6IH2!D6i}GAj&vzffN7j=bW;-3tR{SS% zUeIzog1#5ef1kkrb@=w*q#kR)3uch~26dHwCJp{;TJE*Oz~j==cx}$KL7$7`QQOta z@Jrn1*M5FFe45j5FNT}5lA!i`d*JW#TyG+Ap0(U-pWV40)OK4qi1xEZj?)*=m$%%D zKd!pO&v3ysMc;OhoWHh_-;TcC)|YdCsSkeg(Em&6+1rWuxpGpsS_-_Tz@`vd2!(W0Q;{6Rh z4-SK`93};mj{bHAd>iem5`I3k+#f$X&zp9jpK(+AhhNbD0v{hG@hp^LGI!vsdW*g~ z`n-1Dm)AekY%cN4%qbfx!50q_UR!oEP2ugymmS&rzh3Z(;WB>cMY?am4IiGRIhwWb zTReBu@y{OkFs>7&wCy|ycmmhsc}O>HW@(4FobgyW%e{PkG**OL(6@!>xhv)KCUN$M zN8FP9HbDP6JdVJ1+_D-zjpruC(SHN4PXE6deg?jr_g%}vGiH(FZl&|wwxH!+{4)lN zP~)!x@6kq%(3$vYi=Pcne>lwNdChs}{?a`BoaOmi3H+?_^&#fC^Spb?kK< zKF!yMnrPnd)&A;Z^f$hhiY<))Z{Y(Tm--E--mk+KalIg^8*|-nH+fAk-!9M@#V&&h_5|V$A2vSs@@+clwIPFzbXaL20u~onK4p67vWvunYeFU8~!@Ha5t&g5X$ot z%f0hREbUqI8&i^W2Z)1m_}S*`^O{ec=T^V?+|Flro)g`H-*cWbh6PLe+2Hi&Pg?HP zSKnFEf$7fwhQ#Exh43BGlu0KRn``@crn|Tke(5 zO80(+EkG~MmBXY4KSy5xKG$i_HQ-_SBww~z{9hONF~;p%sDR<{qeDfv4*&1KpJlw! z3jPVaChx;*JO2)Th5NUUq5lQ`K_%(2YZ1>?_{25>2BA-D@6&n5MI7V306f3X?Yd0P zIJvszUioy(D;>}9aM__HJT>L7{qQU5pZO-p%@Xuk%S)VGo|!Mw(b;J$7hcq;n=5ii~6h6vE> z;v(?eRJh)UX#ns3og81ENHV6o<=%0T;M`ZAfZqLXV?6ws%FuH;Fh8 z`Tj#q6!WAszv*&G{Ns5)Dm(gOmV4);vCg_BQ9chdWu5wMj-OUfN<3O_{o$*cNPyZu zzX_jsLkeIw>8|wshnQtfzqcK})9K$%;3w&vIMDm3SwkgXTX_Ck6aUrVlQK!V_2JLM zQxBBmq7Zzzj1i!`o8?~oH=O(V{e7O-GMwylABN5uKk>ZxQWF2E z^Gf+Fa{8Z~@bk|4LJchU;ymA05^PAheHAJEn)9AgJNzURk#?^41Bc_M)Q{pv+u>Yz z8NNF(j5ycB+i_o7kN0En-uTh$@rUp$6Qm)$OZkN7lQ?tslfY-tP8wS7wUe_>z4S(3 z#aW+Z8u|d``7CjMgg%g7{J#YM=KpE!UBD#Esxr|~5Ri9+JOstEdC04h%6F9_c2!nY zcS&_sS()85j2ayo8JQJSW<+*GWIcMo+k;*~Km<`l2AmcZ(W{JuEw;*4#*2s!XrqEX zJ`q|){%s@2)*~Nh<8N^t-EQ-A!aw$VCJ!7U{d_y&uXwVJ z$Ec;%`fk95pT9EWZs-vcL;yyiw*xj%nkFGfD4|#COfY` zrEuIE&HPXg-)HkZLi%*QehzSH=e5~-tq^`^*8VEnc^LgWF3(>i{P@F+KzRMB^#;Q4 zo!NE&tG55h*1k;s{|lD?AkVvRCLaEk@R=vsy1a$(M}4EM%R4iE_;iIM4-DC*ak_r=gKX!T%z3hx7IF6T*Lu`_A|K%Lu=j=bGy^KMuIy=T9;^`FWQAJNhME z{<(|g|1QJlKePO$=NLsfzk0|Mr2R*=?xwwq!*4wraG|%yQ*M6=`M{G1-zJ@(VufcB z{)$iAx;Q;NN85i)>v5T!&{OzTttT^Yl2KQy^-;rQn~sC zZ0Ex~$Dc<0yh_W%ewFDvZzcRwf2tv;9{*z{dGLwtv-=Z2UKW#s>bM zZ5dh%gunfzexBK`o?%(VeG#3 z$AF8Uu9fAl`y9)!Qa<@6w*TmFvhkm~!^ZQigg=Y$)`ty1v8>f4{Lyz?zrV|VZzcSD z{*#q=dG)n~zk&HkU2naE@Ox)@uKtklk1>wR_wPRt{@qVCJiGnpt6}&RI(f%oqlXXM zuv*^)xa^}t$E>{T=|>6wv;Sr5ay{q!Ji>1SACkY1vz=SD{l~QKB%S!V@MA3hviqdv z-$wW^ea;&8xT*IO{s+uk;{4|`gn#UMBarX0YOSw_eO1=+w#<(DOu%Jc-}hgvUw*Wn zL-_gwY(ZW`dYce__A9OYx3m3M6aFmvFMK>dNB9G&uU*aZzX`bD`IYoXyBzfamVYMw z%h#~{r?mWITJPb$Gi>9g4AxKiz_qqsPhk0jfXlpog!U`f)30awk7WL!b%o1<=^XlIto^IoR>pp9E(ZH=m!r%UTR?ye$d4LNZ zZqC-_g2IuvB#ZO>0m5%)-l~&q|8}zgEaAt0+VJpvw)1wvpZfa-@bmPq0GIvs`E0(QQ8@BZXY%b; zQ>5F>pEV1((CuCH7dXA$Nch|5ZC)de^OCl6RqH0ofzBu2!1BNS6^5U~HjUP=YWc^s z{wQ0=ce9-{cN@ocKJ#(5Ge^C^<-@OdhK&EQtq(G9C(+Zo8gLo^+rD6s-{3e85xzkG zh}#)X5&k30*Wvs3JmJ5@^T_4H?!f$4r@HHGy zpY7bUXnN?igujsR&)jABbh-B@wH^39vwI)!V)@s-*cSZtZ0AFSpXypW58#4*lJMU+ zZGdUQAB8WnjG=WUTP3T6bi2lGn4HAK$V1Ms=;$ zy9j>^?VRpM_*=qzuoK9ipYLBaW8=K>X|^w%9=?h2*Z!TsyVgzXnSjeZn(gc!&1t}e z9zK%Ey%*TdGp@IOzn1O%5aIV`UZCG3{O1UN@0eAfD1 zVEY4Y2lvs^Gu@v97PF1P#{;qPRi?lRl|C%}as{xTcSRf{&SqnZ9P z1-O)dPj+gHpvC;Y;gx|!t;{T~nTdlt){EJyW&wJ6o(7J5&^Csf+(S(0= z#>V*swsQz@!NcEXcx$u#1;&RvAA2F;-}V$6;GJyehY9~hzNhAQ3IBfxf9V5_9!}eG zw%$(o+kVRcx3T=Y2>;A?+rWQ|@Oubs6V(;*`R#YCSWPA2tZT{a&_ToDN@1_&&-BH8 zb~vrpp8_uX?k|4F=;400JgtA$cHm#59oYH9SADaU|MhoR`4_VM*8?v0*K7Uc+ z(6h4q*sowapZ$9q&x(DtepbsPitXMu{!g?0_YnT%jD9}Mc2@s`;nVew2Rzf(>r7@( z+plog2ky50>-f1z;n0hjm%!mK0$lKO}%ij7D+xbYwKOgq3HlEc#H-z1fc$+8uL)RJ}-cR^xz}0U> zxy9$T#`067hi~L~ZX^5v?Wpdjcn#s38`eIj(fWD9w+L`O$kr>f{1^`cT=4&!|6~QPX88kz|IxqNM1PL(|3LUN{@nQI$M@NT3xq$E z_tc)q@;^xU{ivUM`@c;1EB?gR^1nqr&e!FS2)~i>@NU2Qn6`uWKymyepVq%B{HoULGynBN4jUd`$9%#r z*X;vb_QeM?zH}|ipZbCg{7*Tr4Z^>N`rVyeuNM>kLHZe;Z@hu<2h)%LG`90rz@^_e zXZLgemgT?yJ8VYY&KC*4uw?LS*v?aq*t}kUe_Pm35`I15ci&|LbUkI8@HyIP{ak)A z;V+;)>gzbKpCbIt&o#gWw*Pj*rzqDwh4A+get-wXvkCuSfXjT}k>v@0AI%Z|a^Bnh0^2!8_)q+R?ZgMN{1)L)J80+Z4-)>pgx~x?YyTwSZzTNQ z7_I!hlkLBq@Xst+dB?+_68_pp-B(Ngxm9a@itwN2dx)OLb{=%C?Z><5H?h`Qt)~+H zQR){C{|<#CUXkY!huPX<`Mb0Ib(_K;+d7!VZN3h0!T(LP8-G2=a|hemm&vQ|X8E`N znH6-q+&zSU;Hfsz+t^O)I%|LJQ?{?amIHkx;SZ*r$M@q5;b$*c!Jp%VpH27-^Rl`= zwMqExAGCtb2VPA03#dQ3UE)n%{#$Kb-okPIs+a#$>(}w{$AAlecu98e;FB!>U*Bu= z7&Gq8U(L;yuF5%bk9C3N$)r3EscBE4*{}#f3^Y?9Ct|t6_fQ!C%Q|4#+CzhY1 ze&OfceV=XXcrMeYz7cT2|8Fzjo$Em}TK=)EPh@sHdB2Oy_aCx#yvTN5@O&HRJsjtc z6aEqAKlw#_-1=3*ugU3+@MnI>*4OFq9|^xIi=X@oFen+%T^x_g8($0f!!WNq4;kdG z9M4k}&L7do@f!H48u;^T;4i3wzgppV-`qFbcz%q1|4dE!J8R${sDb}u4g5=h?~CT? zUmpwmzRNZalTWexKP(3D`%(@3ISPmW?kP5}zvKF@5&oL{8vIw-&Q?u3FRFq6cn$m) z*#1kFto;YG{a**XYF_WHY3Fa)&c{Ay{P5q{&i`Li{$8i5@$*dBF{|+Q$eQv`Rro_% zk9oL_-|sIRB>XGC#o*2dP7;3ew85Wz9~=YWzPGd|ddzTkbKu`T6^GgdgF1rFXdQPgOX7L?74Gz;CF5 zZ`RPmW=;9qYT!Rw1Mk(~;muke_qnot@hdg$Jmcj;4-aYG^LWG0KT(fvDAse6a@pwwm^zT~q#S4Sb^pep?Ov)iv;+0eoLXAO7`@n)1J|@Q1Wsa>VeA zLreeuvZnkOYu5J@HRbR99Y*IL`hxK>s`sr268_5{wtk<;fj(N{KI-E0={4|cYTzq1 z@IejyMK$o(*1-Qu4g3y;KcsaB>D=uAzgtuO4{PA})WAOr_*Jcc{!)P%`}X;o@>iX$ z=08uWf$y(@FV?_cSOY&%1OLt%__o4v?%dz#!Oy!F)Rh0B8u(Aw!0%-HKh1Sj4IKuwhSgI+WVgM&HncK=5V_`S#6CcYwh;gl@rS=XIt$X zP8{H0^nJS3@AZ12(8b~CeE89BZ=74(Y){Y@+Bkgn?BVBXi{nXWu+|x^O%&3q z_UdrB+3O52%+BVxXjn&5QED>U*}D*WKXv%}W6QODaf*2-z)gE;_CT0W_4DanpV#&+ zjaT>EJ=Ym^xZB~`rhD{20eDV1<7O>jxe#>Yl4Z@ zaf1%eH5vQndV`iOG-+wQNlRTU_MX%*9yTe|Z%}A$vv$`+IA815(soj6rb&<8*+!-2 z8k8Dzno!L6GPuZ|IPFbV8_XN_85QYC?re zGfk*)X{L}8>KXXbOcR!~G}DAz?s6!{^flXvzGfTI*K8yDnr%d1vyJF$wh?{JHlnZD zM)Wn?h`weU(bsGv`kHM-U$c$qYqk-6%{HR1xkmhJt`U9BHKMP%M)Wn;h`#0;(brrf z`kHG*UvrJ5q-@!qObWz^fljzzUCXz*L)-Tnr}p33ytV&p%Hy8G@`GC zM)bANh`tsY(bqyF`dVm2Uki=sYoQT+Ei|I9g+}zX(1^Yk8qwE6Bl=osL|==I=xebN zeJwVkuf<06wb+Qh78}vmVk7!mY(!s+jp%E!5q&K-qOZk9^tITCz7`wN*J2a;T5Dv+ z=}ylyK^TfP!B}^?-i8;c3n3g+_ukT5GlnjYMm-)@&6T3D;Omr^!B8>NHt^rB0Jwu+(XS z{-sWnJ+Rbig8ZdUlO3?sX>@*e8l9h=M(1az(fQeFa(*s#8p&s?jp%E&5q+&TqOa9P z^tIZEzE&I2*J>mBT5UvMtBvSuwGn--HlnZ9M)bAXh`v@E(bsAt`dV#7U)@IZ)yRFc z)NMpxjoe2|-A44)ZA4$)M)cKfL|@%T^wn)dU)@IZ)onyy-A44)ZA4$)M)cKfL|y7AZy%Bw_H=?igM)bAb zh`!bv(bsw-`dV+qzZyjgArhrBpe?dyRR^n#dl!16{^W9d6JHQeH5g86N0pbo*clD_ zgN?f2g1mTdG#ZYY7GCf4H+M#jhf+8Hd<@k{xZ%`^8;_k`K76u$;?&BqYmc3+8)Z@O z>@k$C2>|L3CcO;_)~oJq)I$Vk&9g}#ahbb5JFBTC0y?#6mPiQ8??{&2jC+hm$mlRuwK~7D_q2W?p;xh&NjNc zvQFwYTt1lUEl`xg(oBTJbi%{hD86z9R@{}Tlxqzn?)eJqDDJPY&fe(piPs=#IO_E` zYBxi5B|rEeWLP&#tBG$~!k zK@Cbr{`)4S3;Cl#=_p>LN$D%XNfafwciVxY8WolJ{5=b1e5k%W34~O)SGh*bUOKSX z5~IYefk#&NxwCgGQe>}YcAZzvrrN(cT)Vv6&N_1X=yP>{al3(&%L%t@U-flPoH}~! zCfrx3#zTF*<`u4VU?|_L@kwV@V#}{|@@cgzntxjLibi=QSYS7MA!5`U4>xxv{o$Zp z)TrN|ww9HZcAW`s&|E?LY3W@XhNRMb+)3eGo4u42-3uRS>0KL!26F`iPD}6Fux(^v zIVpkdHTJrCO=!BV?v)L+0acee+Ka41gurBdueFcdaN^|AW4nlhq2#ges2O(L+|u=D_9|t>->_dR zjIN98q|;N=iwg&9dcnzcdZyjJ0ru48m6IsBcp$1eBY^1$Fe`wW2rxG_eQ??@spjgC zG(H9|0Btn4hZ|`5+(q!TE)#?m?-$7y%9m;9vyk0C4Eg zZmq{-KXf3*!|K%Zp#w13IP}D?Ggb zz~cNajYlI#$p{J4i&8a4!a)JVb2~IOGd)u`a!5Qe%26^Nc}J?oBVQFjJn}983$ryN zm&W6fPcKANJiR7WqXnMs2_T-^`qa$KfrYxpbEMB4h|n-|Kv?7<=|V=s5qR;v zhu|gm8bfrdHyJ}ze2+0iC1ngzHUUweTb3Xof(9MA`iUKj70(WzUAnH_p7h2OsNL^+|)(WfL zZmn6VJzJ|L%y^>B(5uK$V%cp_K*XM9FmNriF`De(?5|D@Tlm&)<12HQ^bzadyR_Y& zEV~l9GBHNwGx$5U@{mw?a3%}JaZA?Z8m*|h%y{+xZ<<{1C19QC4 z+3c^i*N3C6&SYwC9ulBpGoOLvoav+Jt-ZFiQe6mI(Qv!7 z(J^b{U= zWpTH4SsTG43_~fFWVc~!`Xie}d#y9+>^AmN*HpH&);kai1A3InJo(s6HsmM8TEx?nyy?NJ7t;YeHVlGvsVoCLNF zqG=?vwzI>?eG|~?gz$0}UTtIAFO&MvcI~Ksy3j-VN3}SAS4F^N?p&FCY;sQv}4l5es?S~2_-Fc zTd9CFgW!?LHqyE6){vANz+2R$EY`9Q9nyzY-#N~s%>sd3%xLtR9q3I(k2YOzwltNx zf~H27ifiM0G?_lGX=u1<#0~6hB0pYoZjxJtIh97SvkjKp^Em+-BTQKs#BgU)D$HgY zmSQVygxmEgV})@@1kctGB3@{6G~DujYidYVza8ZwGO=l9HM`(Fcaf$jG2O$j+*Uc85FA11Qq6I7n!)(F32Zcw{i=#MZnLf^%hUqon~$ z66rLa!T~xbW85DXa~&gwfxAMB>U7Vw$0EA=L0AX24%=-ERx-!2PTRKF)ck>YV&>nw z3y9$`*s4g-sA*+;Brw#41-yCPYJ}L#rL9B%PHhD&b_SPaeg_)2+qhueGGaT3G|)r0 zFhu|Rpa%R-DHvyjxc;C6qVN;r3T$Y1V7(K_^0m*=uAneakUZ-Lq70pdrRV%CGHojAIhR(Z;J<#aYI|>hMZzppJhp!gExy z99P!d)coRHB~vLiavuItCrqcbjjgJRE>)xF;|Riv{u3vLq)f9!{Pb(ky$B3 zS%&B+cL-X~-`Uz0*>?Q=&F9NO+7c1FH@JX*{H#K3P-yTw+d4qwccKI1-hzqU>xg*qxyyIt>4q_5XA7Du zti7V8+tiY0FqW!GxZ)9{aIR`nBM9dSRMex64FoJL?j7ob*w%w&o;=(hUaab4t$zWj z)@`Px){+YA>y*8dW+CLPSJfV$>#tAXF4*j?^YBWlq1!$#b(CtGg)2r8ZC-2rjegS5 z+NiTJ9Lzxl?B(e2M>xE=hMbu{5dM=akw4hz4SGVn@c{TN20>>QPJ);g;CnrOvW@iJ z@o#KsnE!E9V)RglY%-945IBQfykAVFL4VU9ZlauwI%O0%-r8}1FTx0>ez zr1$sYIvTw@6NX~H;9b${fZ%k!&X)DzPt>&ByJW<^U*-W34`vKs?E(UFT3Z8K8pB%I z*&xlvkAW0xI|iypZ58&1LS%~{ixcaXa;>%A7W5hjk(eGvS}p*1XFMKu%TGep%6iK2 zIgfJPFfwrw1`l3DVZ8=fMp#l&ER;CXeg#WUA!>J`{e?Qor94`T%{u>)_G`wg- zNMYos>ibfZ`%OxB8N_E}*Ef4k!=Z z^D{ma`vv+ww=;mTzvPLDToUv2NN9bVuh+&qF(6P^Jdmr2*0gvX6pvut872z|Bo}`w z9LYKfAS_Dw-UiFi=5*q?D6kn8rhu4Dt0xC6PEdKn1)I!^#81`ekRDMRb+?}jxC!{O(9*_ni9IVZIDPIfCjjcN=2taeSn_t*k~}1sQ0>KKE~QyG{);djQy%x4UYSD?P4#0OkuDInL6c{g&!Vk~ zH()SDWdYb`w@366l?nvgagR3GQVHx>6Iu3mE)@GmzT3_@huf6rC_EX|q-}h+852mm zd$~9b2&18N)E?!nrH>1V&zfBu?uY}gu5$V~uMEATH`1ZQ)*av8^tDW(I1*v+hj&zN zwm|UeGNvOhg)`h{oK!_1?sX2j3LAlkP0IBz(zFyj{ zcqX2k3b2N(q;Ql3d6veq2F-0Wyj*@DB~21b+EH+6^m1^V!%$qK%BrOKjJ3+kK~G{n2g<$Yje&MSw&_p>kwIMWJ#?L`9(_7DAV}qEI=8 zrJ~Sc93l|O9tNv#wpy9Wl)Dq@sX1^&n_s zno|eo-MSUG9~Wu2XQdRaa`mNVq?B5Qj27E2fkkUZ*RnOD&t=y8x5t}Kx%dk06aNJ( zx0;Vc&MR5w=jLarAzH0CyrMxn!45SyZxLzMaaHfOHlfW}flwgq1`%Fcj>%Y9K-U6rskc&w$J{TKQZ?Bvb>k^oKxC)E@xryPQ%oT#k-$|97* zt4oZLWlh?MBQhBGl{QvgT~%OFy{aEe>v(Bk^+OBXgk)s7U3ML2b=jayr9;I*BnZ@I zWpQwyN=YIwB`%m<9#K)tYvpa9_;Fi`#7r`uVw2|u*Q}}? zagqG7?g4PE)gUqDC03>n9*B7khU1%BMy4W|%z-@Y5blF1!RkZ#FXq+(7`q4*1MSrv z8g#V`eu=F#Yf2Ql43r)tS{FX4sF2i&mq3fCgs7t~#wzk|6(}~1Yh_p>VC;`pRiP%3 z>T*WC6AJVc9T{vDy@@3P-V+yXwH*_hq>KJ~KY3#P%5hP&Ww2N*vmwGZDNRHrwcT5= z7~^q%QaTpvhz5!J0;PH;vuvkhoa~9H zbk19{N0Ajadjggs^Od7A(gm|Z`qU;KO9xe@II+EoS!2Z>-leJ9LK4C$!{!urnIj7V zL47!@g5xCXRE{L<=t{B>BEy*Lo>jtC>#KYMH>m9`8Y)S@1tlZaDzUC)BehVh%BDph zS?gmJiu(guWhsHvYI`WY@!gAdCZO?E1Rqy+C7ySv7d0f8Y7yBOblr5jiUpvu^=x%( z%SE(VTgKZms;e!gO^M{v#`@s00FI2xp=miI^vdVn9rwcS{UI`Cg4J?uH$xQstCd{{ zsfdtR`!E(=vo@t}A(>V^EL?}hQwW@gVu4ei$Q8YW84JLy?E0;HuD?mSD3m9$>+@_1 zR=X&?lh#KYu(z;Lm7~9Cq2G!e{0W z)Y-LqLFBRcko3nAoFF1LHcd=!tEE<9|o*HjJEtp*sn)yC}F?C9SfCWW0BXN zbGng3#Gk|-Ne%+qp*UH^cXV!TlS0HgVldq6TJd=M+~qM&8dv}e4U~-xR>y9;AjDiz z`!96e_1DH%^vc^j7s1S7PFWwO=I_WNNh>mH8HH6>udpL)!L7^MAEITV+Q3jS(M8(a zXo~XGqLw^VHz^8)RIKYao%G_L@T zbnyV|UhcYYEWpaRj!h%d^~%g#V(nNT7XgQo;{(4Fij&_SfMWPji2PSjSODqDV!w%k z2yG6Ddi4AV&&AgzI}piDsvlJBEv;Ol5ry_{*+KYbw1MeTz{9A0*r4dGwQ*Pw))=caC^0ncbMG$wU2s!E;-YtPXu zb%drgN)-e>wJ>wwfc*j?0BV&$#CWV8cQ2fmv!oHB2vqUv&q`!b>tXY$*9TaC)vwWE=N*wIxx zkf=y9hG?u&k;H)-4b$!X$uQSeH?YRhxD8OSKtU;B;Pe_CQZ5;>dMT?RfZ%e40k?q0 zTCgI?3X51DwX4AVcRC=ehjqqLIQDEAQHv1V5zpIj?HpTDNByfIv^z8}m#u4AHA~8ax4$5&a(yZ{Qc-46HqC_<8x`v`6Vq(vi)!SO7W+Q`X zvn}JEkWgcs@kBwnsO7wZAZNG7oMco4MpUr@OR#PP_4r=BhF!;k7`N_aqv{Al=*s=( z29NBbcfpaQN>x3DD-s#Ud}p{0tE%Mc z3f3fDlu)(uDTsaz$3oNwyF+Eas>aIlkq~YS545W+Dt=OE9WgXWMKLhe zy<<2EQ}>Rc$ypyikaJ=6vYT%6Cp(+HRlG($p#~{qLjP_LH^;j@4YAgFhYW0QxAO-u zrBsfB%)*Q7du*a}(cv;?aLa-X#}9m?AeoqE^eJ~_CCmyw9MMDJu>e5n#1!}yU@ zqHq+vSFA&9X^VLkHF*!fkt@!3R@Wk&S-X9D6M7s%r;?82BFO_i-wI<{G9ay#&A^7r zCqZmyHPL-bZ4rxgaPz2?t64{NPuZwO&q%CosdcNKJV%%b1YNQHB^WSq+OC{OZhuZm zLgOSPO5*=5PgGKwE2mG|b|6%3pP;lOo1I$VW&oL5IOzB79qrBsit>~@qtT4TFi<%d z8LK|chEQ%ba*)+_oy;@dBl)Tm2eu!QT5^949Kg3qdquXArmex-8(^T7yA+EDvNJZV zv}=2M5!RbWOUN*s@PB0!-n(oNiA6|?sAU}i|fNf{g&k>YkNE(s5l8gdCN14*SQ1|@DI2%j-9 zl0OMvnOT^233PRCYGKxLv50%%R`;~YcibE;8}0!GoyC^>q+DUTFTkno9&l}(lDVFH zb90L>f@{B8ppa*5Fa|8VPzGI@3v9RUR&y6$QYJGp3|S4^M2wdR@r(*j9gX-P-5~0J-&h60b;#gynb zmIGHUdq+_2{Ib}Z!U(0oWfS|Zvs)TOD> zHvY%8%(dR?&IZ4aFK>@vPu8r$CK5lhK zT_n-aMyBQ$4$ZZ;&mloTFuHDm`2!i@4lh#GAb<#5@O*F0n_t7br3GW1)m6!F<7g2z zZwEek%|X!8LK5>#^R%@iLFT-r*jc-v>BTr^;YDU2(Qi9A;lRGacW|um9n3(#qeI;~ z_JCFp{oy7ND;9$0!sy^G+sDryzW!MI*r}uKw(V3LVdm9W%7MpEvmQ&r zBGqC%S&JKT8OG>dI_k)fhT*wST9Cu{#2M>DY|;qpfijE{E2yv|SG52(i0rMvgXKb{ z7)u)f8k1|?R?pgp46Nd`k3f7Uk^nRrJmmGvQS9=uHD#WBl{~Cfef@ystU-^sAW4xS zcp>0oV3JqQ23yg+zQmy0?v8iFvp^qFn6kL$Jg+<}$7pk$Z}oA1wC_iY5_O^2n*1Nq z9}`#Zf;44Vcdt##^o?=*SpGhc`27zjPA#u2pLpJ}npX`$b(Z(Lp00b(5uPKQz+P}{ z9?H|yU{wo4yoh*{Y$Wjwza&u;b4sP7c7JqinSloHA!8a71iFLeXE?aLHN-2fw>snV zExEHUt2kKejPNab8@Rk1hbWWxs+>N1c%^RE`u3(8jMxi>qId4dTheUk#X%~2SKo0^ z(}<&$Mh#uqW7Y^9J45gbM`8Ee#V|U~)?!b#b z_81&qEMwt$#uTmbXe)rqJzKbLQ%VKstNNXKg4NKb1}KUjx4JCW4>ZUAxoLUh0ykAb z6K%L{>(~0GQ>V{*ZH^c=avW>2StJ`ZTb8ixvzPG%bCgQ<- zFY^emwFtSF{ZPh=;{IH(svY; z-1qSw?kf%%bWdy1cDeZT!)4`n5?&94D&2}dMb&O2d2%p4@EZR zaIzyx0jfYXyA%Fm2Mc+~5x!GYXQCb0d}E6--h?pmP!v1-ftF3Rngu()_pZK!oJ4vO z4n4VyIv3!(uuY_Ai>t_J&$i?U`aMFX*Ze`-^FcXUoLT~tMX)m1Y{oZLRM8iep98Xl zc@nEo+C`4=)c#@l)rL<}v7OmlU$<*GAqFTE7FvO&7#kmVuL`~pEf3aAoB^^{*}fuE z;TsIB352;|Kq(b)A1d}-g6r`P z?k)N?*|6GRHG_@GIqWJQzn!<+9`(sh1hTO$l#1bgs6;H)zgonbU>EyQmsj%9^@rGy z_DNL>Jy(-D@E_u1>uJwp|q`hk%gsfC!u*Jkm8bs%~b;%6ZD?zVP4pdx!7vxDd{ zvaNz}vcmfE8?uhzZO6{YN=MBi3hc1qdyDP&ZzD?8VP)*ZO zm@JN9_q7|h`_~)i(|r*2AqSyy@di5}pL@YCr}4tWVC1^R=*3WAI-R7eMTy~s^_&?+ zs=?t?N3BjeBe}c6K$Yl%yh=yS&if~5Q!6bUkn6F?hy29mKUc?32?p55zUI?OApd1MWN8SRx2}jlj zB5vf|hE&L%=o})PJ04~%Zx66GTmcj&sH)#yO`D#g{ZnAo4?V{xq-%9nTRe^4ACS0sEMpv6E5WF(|VVGs#kA|VQ9229&$ z6F76kgk{E7I}BTl3uL0elwG-mH~|UG!J(*}*O@q=JWr17g7AF3%nRl0Uo2ogvS>w0 z2c<2sOzOlLFRv7H%*GvN1v7$uZ*T0tPJnx&)wqYsN|q9cY-w|Jywg2nTG{ntatM!3 zSj4xuj0!B9gR!4 zo-i>ZL2;#W&0gN~Zh#TX*d&~6z7rV+_}%GXnOi^d)(lF7vEgKRuHEG&S@ z?1X4o-X9{2jyv4eiA~5r+?U~M@iN?qSmzaRjXEv>3uvOQkOzrHr$eJ#v5`yz^Qm}I zoaWHove%A~+i46B=cOOuUDc~0nfSp`#U$$;xSdMpAC#gBoQNT|G=i|EaM6iG1G9tB zrXj6jcoEtwo(8!_mo2h7Vc%fqL6e?98i3Sn?T5~Rq{2Av2Wv5gn1xb96E&nOfR-6i4PP4Zx4B65OJd} zIqTaJ*$SS-ftgRMUKk&P%q90pQy6o$jhxAXl6*PB#;y_@AwhEw{0nW6-cHy zbX}S#9i$jp2xK{nH$8OEpB^k}Ue)SdD5xSs2*;G1{YPXyN!wLCt4cgE@ei2x3{jRK zs=8zGTeOdyKKfi+sQgS4%cLAqveW&bD%t&GX)CO5y3%-|^AN8~g?XaCjT_6bBf`3Q z^6>JCSvZ4jQ};}SUp2 zh&Xq`nfrsl;Q~dexXWKQZl}pqi}2YeWSbVjP)!L6`}*46L<9GZTk=#6o-3}lE(sVY z(GsWch_AL#+uGRb34em*kZ^V7;m9otQHBN?>qj&LE=xcHIt)jsw0jhhK5W?}0|~4R zuO{|qwg|y~MO8tOuf|K$+hb%PRBeTC292aSwGxx_3G(9;TkRUYM>H&y15=WYLS=(& zs747DM8NPx4u|oPCu044d4xxF7g=Jlwfl%7Q~fg-IjD3HlTpPIBe3lwbOuL@E0&c* zNg|_^QviNGgScwlMMXFHw9xrW*fFOwE5>N_St2qNA4JdXa zn8F6_Z*;yv#Um?cxu3v<<(ee!=Eevv2w7OJ6Cl2V;{pQLQFsITF1;mgohSx@OPx6s z+&&*sqb!$R|1j-AURM#G+W0m3pZv#*ukkV9#3OvoO7B8)A+)4|HU}D6vM^UKSD9{* za-FT-2m%SZw7yA8C54A<4_iyOD6m_O-+)J7hvjru-nT@Ho{%tGXH8l9TKA6yE|9DK z)PvYo-UuWt%VHYYtb8M0kW@x>Atk|(q;oLaPP7Ll&=ARp)P6Ds1C@}|0%gWdQ*TFf z=mybqCO_HZNFTdVQ<&NukI5+^XFrBfEjmH+g5-Oemrj+AOytK`m-Rs&71Bi^JT9+X zzhZ($vY48>AjC(3l5FynqU{JNte5pekM0=Y#)s)${a;cof|^;yN;tR|<-k-lAi3N-G3DJq_SBCH)s{iMkRuf`wE; z*MyE3zpYEzt^{41Fyi|+m=_UruiFn=DOj4Ncox5&MqH52@4+nLj>5_VmqEOIk&I^$Fs8G5#LxD^CUsonne0Ah+9;EM5^sp2jY<<=`QY{3~{V4cGItL}eD#bpg}S;Qrr2ioqc* z-WF>(cGxHdxauFD=^{A7v%i6ISW3~ajD_x*rkb{27y|Hv2{jE@~--v*S2qIGb&AKDRys(qKe&Eyv!~N zT-)wy9K6;^)!XD3!pdtlBu)mnQ-eCL4LRkAra0i5=Ly$i=2B#m0QZ2$3sRQ)>R=BW zSn_N&jT8>Xz*{V4+bI#per%66`V3@^t+avQ1$by6s7RcZhwDRG-$q!U(uXV{4vpZY zQEIboTz9?$17a2`1ud$737trghTf1d+=MC0A<)HcEL@g>ga>dAn(l^}J)1^E_Tlu1 zUHG9czSz5tD2`DHEAv|T99(l?XYp>qy=UY~t(69J#~U54aLC!49)O0e(52ek}I`lQ^30`G?2n`QyX zwt1CEEKVp42MiJx6s~I|l?Wq;KvE$@#qotlk1d@vF)FYH@Z-!esdEe@LCZOR*CSr2 zc&;_Rj7_oyMF*@>Wbw;#VjwiWjeVluT#oQvC`K-M5;D?YA_#a3o-$_##w0|G6^a(!+12y*x#N(KwiMSwM z$VT$=27A|p%GNsI0=01@6<(yN%Uh6ADeU2}C((`&RBbqOtzO=%9*o_Ean6{zJ`^gC z?0yyrV8e8qIJFL9(V`lI{W9HxetN3@G{mdLaf%AhDX!d+0nq$Y7;>x51!{Zj*bJxJ zFuP@pI@P!(SgX*utD3F0rB#)bb0bzcDL6$Xtaw};u%iryTw z(u^PE(m*ivRAB~;IUTLgpqSf)8hrN2Yray2AhapV6Xdi2W#CmoS^>psWXCU?p7Yhz z9ie4_CATuz+i0OnTP0^UYIxEB4ETWTQRv3VqZF7@Vpbu?lo}I_JBi6KQ%f`^K2?{9 zzBCRYY)M^XcTsWIcp~rdHeyO`yxJ?2Dv5OS!OFfhCM;{>iZ0oWRZDChnLb8Vs60j9 zrYPiMwUm|$XSKYjT$!ys&Feu@^K89gSuu#hEs_L zs(qBii@aD#P7TVQx(Ra;ITE{1g>ct;s&k$V9zaX1ZSuAsG-1y}@xfT3Pi4DMoj4ln z4@Ymo0|Q~2M6P!*xy^`p{ZNs^k6<2o(gCJXCnOA#J7Xz1)<;(&ys7SP<0XlGsV70C*dIa zUDWho69_1g$D)^-Ng*;jLBJk%`yv8(x>_FD_R;NaZu+E1`jXN&ijo5T$84oYm13AE zMi6x>27I&{>Mdc!ZlnwIPqblOA!{)d0P@qcOqjWgz4@UOh7mKxu&xxaL!tOakBqe> znQ-H(-6ZEJ&=q<}J0c2x#8PxN8dsVacLXVR;1p*YZ>0Hk5*}S9MZ!m#N&?`0#q}^> z!ki5&_WXRVoMnD*$$hceDdf_OJ5p*?A(f(v*wK8*{jF`piI}M~kew5<82NCcSocB! zgDp(nN2~yE4ok^kZc$CGMn3b@wlUznxb>~cy0>EJFfS>G6huv3G@yV1n;LPKiPCA* z(a~ea58rTdrM-0e)bSJ7at9QKLcanPNLZ6;)t*V~@tKs3MTy2b2m+U?9bsNZM#@>j z<`S-bEGJlOKHN_f_dqJ)f+moELwx*_q1)KMw~hmqXKj#-$v4CX4>1OImO|WLyNoD8 zQ6)9KN#1{S>YqKmk_{r>uN;DolBZN`@+fI3+$md~%d6s@(AU{q=yxCr3^tx0UNajO zBVjm}HMIKNU}#-|G45CmjmCzQi=+}Roh>Zz*@K2?Od;LHkn><}gQ-4nX&bd6jd-edE) zxiB7{EP99y!;~%bNlS!b(3GB$4%~^7pJ6cO{al#4R06RxRa?*{x8RqU(BxpS#+3hY z0qEzo4~h)cm@SqHI+`k>WN6_*+?&kMCDv#a`PEOf5Y+3GQ;M`G9V}}ht(Z;5*(rVW zZTiTgHEXb5v4MBoMx;*AT)8D}!88UZQ6Zc^tg0^3wtSJc7THE2XIVaRZF0ldz~G5? zV7l)rpB2o)kio^uOQoO?@cR==%VACs*~p?t zQ`jmliz^{To(I(*!IxdQ3Y38_>5|*p5xFAb7;5ddQQCVd3>0yz8l!iv?=!T%b{~O2a*m z7Y}i!}tMJ99QcQbHC!?P~JW*!|@*$ChMPVj$lr1>?_|dlBUlS`TuW+b9q&1A@p=9$glw65A{G zW9hKZ?2Z}^u_G5@i^w=T^^R4UC~c9{sJJz;t1?tX16n*r0GzFQ!OZ%j%Oirf2y3Y` zbF9%IdSV_WS*VUA1_6D+Aa8<&U1fF;;m^p}FSord&7s^j490Z9jg=eskik{!Mp6Xb zA7N*~+IRzU)xjIx)41%jMQW&sry)(#25rVc<^)fd8_y&N7XT9a0Fh7@E7)baC9(W+ zT1${ph=UP6Y_=csC>4C!mq0dtkTv|hlvD%*`=ZJ)*Z{W3#R7XIUi?UfDm4(p@i?bo zQ@_f_g0vISst9q8)7iY(xs3cO9xD=dW1I(wJZZc|NaO{%!*VDyGnP+o7L?2_H2x-o9Y7C`%te@;I=;oHZh+}91Bf0%Y9v0X}xqJbRX@*pI)S<`V zMu%(@NkGj(dAlMQhlph5gH|9{H)Jg}Qwwfx`^?lY#ew=@^fQWYG#V(Gj3SebB(XC@ z#hW`&WX|oRrl^eJK6w$_37svxSWEX-HrGg(=TYW%B^|YuE{-O@q^ShNyFX-RTn(g) z0;{uaP}By~w^^&6CBnV50PAT%UKHIoM&v>kafxl4CiFyZz!Rsz?T|96y@TME68RJn z=jnAtvtq`cY_W>Err`Tl-bdwW;IO8cn@DVhm4^FwK-Ua-BT`N7Aipj+7|6lNKnc7* zfQZnC5sELBs@|#CYG7x#BJxUC+=y(&7zC`O$_m8?LNqIjlN_tU=6g{c6NvLY0zMF3 z!IOkCk^f#tFaKfoAddB6(M@r7Go`|SeH9jm&N>Xpya~Vi)RLD~afC@8P?+~^CN5oy z?LY)m46ZB;ON#Yni<_+OHyS*tN_NMIQ%8^8bZnU&sT^F$IV49S*{C74U)QE-@dd0C zC&jX92T}EC)$E&QQVk9XP=ltdMbtxSsr85uAu7HSopX9+U)X2WT}xulT)$839YN{x zg%L-Lcn!=q9+pQ^l!~&lr1y}WRucv-c{`1#IiD4(qnH`)eQ^8{v#=7e&RsnhS#*;= zn-~FNlV{lb(SXb-Y6EsY&O-$q75>y~p*HH<4{&7-nf$Mpoa@VA+9iz*Y-DHTJ^>kP zWK1*Jr0s^e>iLP2dnV$SmOC0|8XF{yKM;J^%y6Q(_GN<^ADzgKN|(Ts{4p&_>dk}& zOVY>tY8KL}OCdqz%S_OT78u)~<$_ZbhpuA@#uJ@3>}Rk^QOpkqUYvP`6SWVLk9`#O zb#0ieIuf;%mx9NMiirh=JP^5cqtjjN37Y&Xi5Ug42P4XjqR9v!x(>^-**mPnZ@4WO zWVj@VrS5V6=KC``3dwtzAJ=1}${V5(K3PL_i{L~oGFa$@#Egd%N)qyjP^Z#eP6L>& zyfM^8#^msh_l7zP(GG|yr=vt4Mfd4|BgdT zc68PG5deWPn^^!mhT2|OxY$18?vli`P&BXdkK8EJ4AjY%lZ9JH(8CT) zf!cH+UR$;(_a=vy2qD-WK@JJ)A1N?mj%GbS5#0Vz=jZTET-98x50Piceo#H3J?Q5< zERstt?o4OsYf%IOg3XKSW(ctPsen;jGbt1*Sa3?|u5xF8C2P$P%QShy=A^@Br{lo$mZ}-<3izR5#&1leo-OrlUvLq7w2wD`Ka`m~3O0 zlnv3T@53WQILb(7iM$_0Ab~1kJ{sZKkODwR8L5J@5xFjDA!RW3FgltZV>6AG-tgN zph?r?WT?o4x-vvYR4cCBF&K!81J7<*sI?9@N@s>H{AQ=EuO5kYtGCtlto|-gh_zB; zTcQ|{a4Qj+k7VLOz#~ba7$@+{-c4uvC}>uN_4xX5tes(UUpgli8pBtwJW^fM2R zL|uT4Qq6)}`N;AZg!pdf{6f(B9lIF2Wy=Y>G6{z>3hMx4i9MaJQCY%mB8amU)S!Kk zk7?#&i%|9^`JP~=P~!5OlRVpDHN_;;m#J5=1EYs#dR6LOjdEFN{#w;JXfE`*8E2x5 zX4sB4xD!nXEi{p_kk$-SI2&Nua_n&*$f_dwp6vq2aoC0;=M;B4@Kxg=>_(Yf+Oz&M z8KPu=Geoy#c0n+~TG>(B)Ru@?e{wFc0bM;1F{T>p6W4bxnI>Uf>$VJm4WwCh z55gLQ#G_R{GIiLCl~RjA&w*(rLSoKDMe6Xwxp?svTz#V z@Q3&+ayB?8c#7QCq!yN^{ojP2<*68vXt#r zM8Jpfq66@47l6VT@J01A)h4;G-Nu3Iej4qkzAxa9+Xh=WmYpRyf@d-Jr%*O`r+vuW zqjXP+k^sJ1Y~1Di>gi#>8dtTeBXWK|9^vc2kAB~Bfxt{HF3uH|PT>T$)u#yLQP)rkvqL@F7N-!7 zD*Ov4=&~N2vFupIGPwhTFaoSE(QzKb>{bKMpYVF0d>|s(Mp8vWSTPak5Zy$Oy%405 zS82)^^#%#q0)N$3Ji$w1rBn$g%wq}ToAi+6A-44S+X|&$;pCQ$Ipjc&>}D7!VhqSl zvHl{JW-C#sIJj~ZVWMD8;TD~c(Q;m2#1)Oi7wsrz%d$C%LqF!KPAaPvlu|ijL*R3J z&JeF?@Qnf@WK24^GxJG>g^NWg+vl#N!CsNgJ)%OlwbolMvwTI7A|z_F#2ZokDxU$- zOQH&wTtwP>Gr6>tRg9tqRuLNu{xWkU@=?%UM;v!)9PCsj08*{et~8iYhzGWlEa#w2 z+`UUK-x>FDRGU;T&Vn4D85WH*wavXHj2B{K;o{PvI6GfQ0*c}UhllPxcPc~IJ!Y! zl+o{@l})CG4n(TkL2hXDyOJv*Qb7Xgr`v!Yv(NyRA9mO1R%~{_9J2x2QH5sS*$e5__NtxDDt-loTY?gKa}nM%cTAZDq0GP-QSv ztR0maeL&5{#2$;BP`F#SHaJfpX9+cp@qF~OzWTX%K znO$XU6x$^y&z%&T25=O+KfZcD71_s~CkKMk*A2kKVA(+sqZ`gL?5<;j3pmScz-9lt zD6t!UTBU-Bu2%7e$GR3U`$D{Q>Oy>9>C{V80LmI>v=raoKXbsyh`f$h;tK<6HdI^J zl2{rs59b?*6ESAzOBJ07W7DpT3=0X=<(Y5!$4kU)`m)M$ zIX_-%Wgx-jtTkiqh>*UZT9Ljj3AgC2@#_y#%9E{DxV9v|vdyH1LI*;CxCLPp;=Kuf z_P6f@X0KcViMv9M22Nky8TLM8w`&XE_7M^<0sjR;jP`*WCotVPIuaRKcM}$W7gQ)I z%14{Gi#bcFF9?v__VOko(YzA1#y6rFb2o84+#%7Kuba-^e5#ucn&a0AHu8mZOs`RK6-WR`+XNlHl6DXc19ow9@X4$2D<)iXj$P#=9T`4Y^p&%l2nLy`D(WK(o}k`yfm*yLWZqi-PT!u*b`j~!E^~xS|sBv4dcp;7W@ex)~ZuH=d%zlxRWa9@@yf|{t z$iw+FR&R@GFPACa3|aIV-mVx{J@zPQ=xfe4i&tO;iPBax3wZP$5L(7m!dc2=ppaUX zeT2ytY(|7t7XZtGm{5(+90x#hrNZnoICA6np14>N z&R25G?CxwNoEFTnu#L;eoI~2^ycsuG2j1E~`rK28uRpO=uqaX8tWva-R3-r0Nn0); zLHuU^NF4@s6LOsR2m{555azjCX>9b_A`l1nx)Adp_nWP`d$xT4ScbjKrxO;zT-o7x z7ldH{io_oafst0=6A4i+?GEjD6_k@4+=0+alz`E=OrlZi1&$#|90!A0!LTywsd(qA zqBiVZbKvFgPKqaJNYHr_&%4bt>p!MmIU{5J+EvdYu%b}pa$51_N(3IYQiQv6I;W{J_ZJSN7Y zjq3c#EF7idLiPjrquN0o*%EdOF=_x#*V!SC-Tom1OeMYAP9Sx;TVzo zy%X}%nIkFz+N`;SYOFd1_pqDdbI)G+p9~<|i?B;+>*G7?#Fdce@Es zQJ@m1ZkmrAoiZE|E-Q#U&N{FoX)C3jJ<1jK+*ngw7Ki0Zh*zp&bSW#0&S)MmSvV)x z#y*6|aKb9_sEEC+jwAF6Ns}11u-TAVAn-nmaF&f$x>1x{pwy-7Zv%plrj*T=G!~WAxuF`Q)2eMlv77IHt2Vc(o{z@VQTHfg$bRy+5qZk05r(p zHe4o8H;gUO9Hua24j_n?X04xLs|Z(Lw9Yn`#!RvJ#=ghsD{P zT~P{rj_9OQSJ$ctk;GXhZ)-|>Dr|#cbNZ^o(^ZINiZO9K$c^C2%i*{%hG#`IbQKrk z#gJ@+N0gGUu55Ym!f;LLhHbkWqf-m0mD45MDRh;lW__e@TiGIklunLjOx-GGxg@4= zcq5M&qT4g>9b2^v&Yh0T7;_vWksi{T_)|Tr`OFKt){GN{>!plWTlH9d*kz@3ABDv$>2qI)G<@2#RcMi*Vru@KIBv&y1C=cyNeJ;2 zO`?%%fUNvSxhzpxN^Tg@1C&gBhv#BZ?-uLUM_z>H_?~f1DQ74_%hze?@e9@>VRmLDRM8p@5{U$NOkA!C6-GNCY$SjapR4)l49hQLtGO_jcq zD`u@CY08Re;6adZmyy}GyN1`Xh$#X|N^QNhUSWu$AfS6xeG%GqnV@*UgvMbX5y{!o zyl}X5lSdpNkrJuU>M0oe7j_v@e^8?YWXFP^tY&JqlR$%UOcd~=S>mg3F3Z(oh8+2h zHt9flXE?4^;#-4nMBmq9*o$~b8#zpHnRz(M4j#FQ4Wt+6b%F$YWm@oMBu)rXcX{&aqN(jjq7E@T$v@VN(?V=?=a=i^A1XWlmKQuXfxGU|97aD4e0rdcBCd z9Fl1&(KrL0*IoA@>{e;mHtfm`u768Or#wPAO=f>eEt3 zBwpWb4Lf~|ceatBYCHys+JkU@#^{XH*=W7t-tpIgav|TiYYMx?_ zC?Y#!Xhi}QV<5T-vHmM$O1PAfx!WSLO)Zrgnu+la*Aw+xC`r6&@@ZozoNT`IX3s5W zWQe13yexPDE-FV1E z4Swz-QM59WEj-{MT~?BLC?-DB^4hDHsVeHYlwxB>&KjEgl)Ri2Tn zYkIik7>#BZ#hF#F5Y`5-WDia>7ijIWKE)Z)(W+~!eS*msxVL=s=v-XJ&-8}uqyh(k z`h|GrlIxQ>1KM#kO4#2B#7D|ONJo4hj3dWZlG>gczvMLr#x`w`BA(c?T|@*Aq2sk zxUad`$0hH*k6M{-TU^!|Pbv7AMe-y}EDJbQz3H6hl=ODVRH4yCnaG2F?W568aaIP> zz19R^eLrON%F8&75l0aaiN!83AP@5HHa>+t$!vT|lR$Z}`d~G9mD?DPR8i{g- z#kzMf92GZ{3p0A|ay^CGc>MZsT+j(V=ZJOqzN|1uM3@P8za`eKhBaH>9A)etV9arZ zYwgHVhocM9a`lvhHQomfJZ9ADL|~gDXe`l$BLA9skZ5-*PHs8A(K4?Ct8Kw^PcGMt zOj1miVjv|f67m@=MZ&5|#60L+w&w!~&>bRpj$rCtmI>vcP+V&wJy}uT#cJdDUBM29v z3>KJ(Ws?YT7rFFABD`hCm8wDvlM`8V6A4D-@)jEytU-7hJd1|>=!BtH;V2YJ+2KJq z^6iPs;}Wfn#x5jq0#rfu=d4$MfO_EjV`VrI!Q!4k%I1|EkTRZ9vKEmJc)7 z;ibLR3>A7NA`pT^g&@@7m>mUb1g69Hnr2Gpc_id3WkeHHxiDUx=oyJPxR!IvyE(W= z6I>D~1$2<4!*b-pkl93nS`iL@*@)s%T;D13%tWU}cKnrsYJ$`Qo1&ToRzO{O?%rJL zw9E`H;zhk>c|yVs<;r2FI)ur(jf@HTPr<|Oj zd{=P`iE_;biAd3NYolwfx%T9VBTMa>shKG#Zns(=IOg25+C6u^C^I{S3{GgQfJiM7 z%r|A;DOh?I61o6+7A63sUYAUcle7?C);Ss>mfVH=^eLh!`-7-|=?$!4(B0Zj3${CaiPH z4K-52F$rS^sA5ec!Pa%aX=@lNTnH&FFwGQ<1T>dq+vHHdaCIJZrsr$%bgs39m$4VW zb@6)>7za~WXAHDg5uaGbM|j)0%tx9x*~%$9Z3Oceb
3jNfirnD?F)?lZnFw`HE z5U~#Ychhpd_U3gFQ96CjEIlS=icLIyEXi_m+LvC&X)%$)hFQy#t!=SrrUfzVQhOV> z3VpR`X3xs^H+oy!lgp*~>*h(zN*r_wfi$;eDH%jSYjxrG8evh=LV}@!A{9@TBB&@f z4K9SK45~M)uJ;9V5`f(a=@wOmh|FA+!q!zwNMY`9IU*?ques)VV3h4?@W}(M9R%N$ zS8lz6yfB;MUHdv>^_kdTtAgaiO#;fU_-V%W01r zx9UdriOsa2oMtRTwo*n-c&Tvjd^N724vkvtWfWsJ*nQdZkJQ(gj>z|ej!S-U-7v6z zT2go!uJwpdF?}$$Dk)In$Wur`S4towyfYl;U9h)xMR{6S&lBf?*=aIb+sU}n+9vWA zWDT^tmpX7tS?<2{8M0_f2to?PF;LnHttqq%$q%165%g13T>U1ubVu8*Dafh4Dfr>1 z^fhby`)dRpK5}CJq_fe|XGj-#t~G_r&uGs+v26Op19jscZL}dR@>v^2;iK+IeSX`9 zs6QoQWorswr#A2c_bHK6T2o>NLZPADFlkNo&O!Eo{k9EBqxfZ2%}Zl7{JYeWzR-XU zvxBU87|U=X-2kGE;{I)G3M8y~yINo7-(yk#EAa0t+0L8!#Xr9;YhTL7e~-tH`{JM1 ze<#2A=M&<>_-*;`Sy1ZB zJoEZL0GLeC$8+5y@B@GT`O0V8Zy&$ccl^B?kEQ+*E7rREX8Yow2c%>CN&Ty3I+phjAHRS8 zLRSBF*7wieDH0$X@P56$w`TS4_y1XW|9p@a({!A{qghlcc%^POMkZB zf!~5ZumActKTu2f=gVjHXZSDg{}1pauK(n}u=@Ua_eVli{o;6Ys0{DH_{VME+Wt$M z@%DS|GcWJI{qr8wl`ZS_FR}imhV?&zx-x#Re;e!H#`-sX10F_y`=ami^$YmtdrN-b z{dpVr-5<5j14OLTw~yQD;Ac@M?*D#Y;=Dg@pC6P)qd%|jpZ7uKxV~W%6+U70pX>|E zHoTsHJ|wGu%~#55v|87E((12zLDt{LPycuX>Pr#F-#hMa_22k8tAA$BOWNnY^k?fa z?EgRp_xe|7aG9(8#h=*}_4&Km|4m s_d, + e => s_e, + q => s_q + ); + + simulate: process + begin + s_d <= '0'; + s_e <= '0'; + + wait for 100 ns; + + s_e <= '1'; + wait for 10 ns; + s_e <= '0'; + + assert s_q = '0'; + + wait for 50 ns; + s_d <= '1'; + wait for 50 ns; + + assert s_q = '0'; + + s_e <= '1'; + wait for 10 ns; + s_e <= '0'; + assert s_q = '1'; + + wait for 100 ns; + wait; + end process; +end architecture; diff --git a/vhdl_intro/vhdl_intro.tex b/vhdl_intro/vhdl_intro.tex new file mode 100644 index 0000000..f27b7e7 --- /dev/null +++ b/vhdl_intro/vhdl_intro.tex @@ -0,0 +1,52 @@ +\documentclass[../Diplomschrift.tex]{subfiles} +\begin{document} + +\part{A short introduction to VHDL} + +Designing a processor is a big task, and it's easiest to start very small. With software projects, this is usually in the form of a ``Hello World'' program - we will be designing a hardware equivalent of this. + +\section{Prerequisites} + +Other than a text editor, the following Free Software packages have to be installed: + +\begin{savenotes} +\begin{description} + \item[\texttt{ghdl}\footnote{\url{https://github.com/ghdl/ghdl}}] to compile and simulate the design + \item[\texttt{gtkwave}\footnote{\url{http://gtkwave.sourceforge.net/}}] to view the generated waveform files + \item[GNU \texttt{make}] to coordinate simulating designs, compiling firmware and generating images + \item[python] for helper scripts +\end{description} +\end{savenotes} + +\section{Creating a design} + +A simple starting design is a D flip flop: + +\def\svgwidth{2cm} +\input{d_flip_flop.pdf_tex} + +The following VHDL code describes the device: + +\lstinputlisting[title=\texttt{flipflop.vhd}]{vhdl/flipflop.vhd} + +In order to test this design, a test bench has to be created: + +\lstinputlisting[title=\texttt{flipflop\_tb.vhd}]{vhdl/flipflop_tb.vhd} + +\section{Simulating a design} + +\begin{lstlisting}[style=default,language=sh] +# analyze the design files +ghdl -a *.vhd +# elaborate the test bench entity +ghdl -e flipflop_tb +# run the test bench, saving the signal trace to a GHW file +ghdl -r flipflop_tb --wave=flipflop_tb.ghw +# open the trace with gtkwave +gtkwave flipflop_tb.ghw +\end{lstlisting} + +\begin{center} +\includegraphics[width=\textwidth]{flipflop_gtkwave.png} +\end{center} +\end{document} From 38e12fa78b98cff362cb88d88f9be6375f75f71f Mon Sep 17 00:00:00 2001 From: Xiretza Date: Fri, 28 Feb 2020 11:21:08 +0100 Subject: [PATCH 04/11] Add Makefile and VHDL headers generation --- Makefile | 35 ++++++++++++++++++++++++ generate_entity_headers.py | 54 ++++++++++++++++++++++++++++++++++++++ 2 files changed, 89 insertions(+) create mode 100644 Makefile create mode 100755 generate_entity_headers.py diff --git a/Makefile b/Makefile new file mode 100644 index 0000000..23658aa --- /dev/null +++ b/Makefile @@ -0,0 +1,35 @@ +ifndef YARM_DIRECTORY +$(error YARM_DIRECTORY must be set to the root directory of the YARM SoC) +endif + +VHDL_DIR = $(YARM_DIRECTORY)/vhdl + +CODEDIR = code + +.PHONY: all +all: Diplomschrift.pdf + +$(CODEDIR): + mkdir -p $@ + +define headers_template = +$1: $2 + mkdir -p $1 + ./generate_entity_headers.py --skip-missing --dest-dir $1 $2 + +HEADER_DIRS += $1 +endef + +$(eval $(call headers_template,core/entities/,$(wildcard $(VHDL_DIR)/core/*.vhd))) + +.PHONY: entity_headers +entity_headers: $(HEADER_DIRS) + +.PHONY: Diplomschrift.pdf +Diplomschrift.pdf: $(HEADER_DIRS) Diplomschrift.tex + latexmk --pdf --pdflatex="pdflatex -interaction=nonstopmode" --use-make Diplomschrift.tex + +.PHONY: clean +clean: + rm -rf $(HEADER_DIRS) + latexmk -C diff --git a/generate_entity_headers.py b/generate_entity_headers.py new file mode 100755 index 0000000..d354a81 --- /dev/null +++ b/generate_entity_headers.py @@ -0,0 +1,54 @@ +#!/usr/bin/python +import argparse +import logging +import os +import re +import sys + +#declaration_match = re.compile(r'^entity (?P.*?) is$.*?^end (?:(?P=entity_name)|entity);$', re.MULTILINE | re.DOTALL) +declaration_match = re.compile(r'^entity (?P.*?) is$.*?^end (?P=entity_name);$', re.MULTILINE | re.DOTALL) + +def directory_path(string): + if os.path.isdir(string): + return string + else: + raise NotADirectoryError(string) + +def get_parser(): + parser = argparse.ArgumentParser() + parser.add_argument('-d', '--dest-dir', help='The destination directory to store the entity headers in', type=directory_path) + parser.add_argument('source_files', nargs='*', type=argparse.FileType('r')) + parser.add_argument('--pattern', default='{entity_name}_entity.vhd', help='The pattern to use for the destination filename. Available substitutions: {entity_name}.') + parser.add_argument('-s', '--skip-missing', action='store_true', help='Skip over files missing an entity declaration') + parser.add_argument('-v', '--verbose', action='count') + + return parser + +def parse_header(src_file): + if m := re.search(declaration_match, src_file.read()): + return (m.group('entity_name'), m.group(0)) + else: + return None + +if __name__ == '__main__': + parser = get_parser() + args = parser.parse_args() + + logging.basicConfig(level=args.verbose) + + for src_file in args.source_files: + if res := parse_header(src_file): + (entity_name, declaration) = res + dest_file_path = os.path.join(args.dest_dir, args.pattern.format_map({'entity_name': entity_name})) + logging.info(f'Writing header for {entity_name} to {dest_file_path}') + + with open(dest_file_path, 'w') as destfile: + destfile.write(declaration) + else: + errmsg = f'No entity declaration found in {src_file.name}' + if args.skip_missing: + logging.warning(errmsg) + else: + logging.error(errmsg) + sys.exit(1) + From ae4c53332014cbbd4b378bdd8603c5c214b9c32f Mon Sep 17 00:00:00 2001 From: Xiretza Date: Fri, 28 Feb 2020 18:35:10 +0100 Subject: [PATCH 05/11] Add bibliography --- Diplomschrift.bib | 19 +++++++++++++++++++ Diplomschrift.tex | 8 ++++++++ preamble.tex | 3 +++ 3 files changed, 30 insertions(+) create mode 100644 Diplomschrift.bib diff --git a/Diplomschrift.bib b/Diplomschrift.bib new file mode 100644 index 0000000..8696878 --- /dev/null +++ b/Diplomschrift.bib @@ -0,0 +1,19 @@ +@online{nandgame, + author = "Olav Junker Kjær", + title = "The Nand Game", + url = "http://nandgame.com", +} + +@online{breadboard_computer, + author = "Ben Eater", + title = "Building an 8-bit breadboard computer!", + url = "https://www.youtube.com/playlist?list=PLowKtXNTBypGqImE405J2565dvjafglHU", + year = "2016", +} + +@unpublished{yosys, + author = "Clifford Wolf, Johann Glaser", + title = "Yosys - A Free Verilog Synthesis Suite", + url = "http://www.clifford.at/yosys/files/yosys-austrochip2013.pdf", + year = "2013", +} diff --git a/Diplomschrift.tex b/Diplomschrift.tex index 2d98a9d..f66dc47 100644 --- a/Diplomschrift.tex +++ b/Diplomschrift.tex @@ -5,6 +5,12 @@ \usepackage{subfiles} \begin{document} +\titleformat{\part}[display] + {\Huge\scshape\filright} + {\partname~\thepart:} + {20pt} + {\makeatother} + \tableofcontents \let\tableofcontents\relax @@ -12,4 +18,6 @@ \subfile{vhdl_intro/vhdl_intro.tex} +\printbibliography + \end{document} diff --git a/preamble.tex b/preamble.tex index 644b9e9..0df6cb9 100644 --- a/preamble.tex +++ b/preamble.tex @@ -33,6 +33,9 @@ style=vhdlstyle, } +\usepackage{biblatex} +\addbibresource{Diplomschrift.bib} + \usepackage{titling} \usepackage{graphicx} \usepackage{lastpage} From 9d04e5ca2bd9b7349a0dc72d3e2d09adc2a53913 Mon Sep 17 00:00:00 2001 From: Xiretza Date: Fri, 28 Feb 2020 18:35:54 +0100 Subject: [PATCH 06/11] Update gitignore --- .gitignore | 9 +++++++++ 1 file changed, 9 insertions(+) diff --git a/.gitignore b/.gitignore index f478d46..b1e4424 100644 --- a/.gitignore +++ b/.gitignore @@ -1,8 +1,17 @@ *.log *.aux +*.bbl +*.bcf +*.blg +*.fls +*.toc +*.fdb_latexmk +*.run.xml *.synctex.gz +*.dvi *.pdf *.out +*.kate-swp *.o *.ghw From c4a71b39dd9fc7fecb54c834c86fd2e1c1fc7378 Mon Sep 17 00:00:00 2001 From: Xiretza Date: Sun, 1 Mar 2020 17:13:51 +0100 Subject: [PATCH 07/11] Misc updates, add core and SoC docs --- .gitignore | 5 ++ Diplomschrift.bib | 28 +++++---- Diplomschrift.tex | 2 + core/core.tex | 72 ++++++++++++++++++++++ core/core_diagram.drawio | 1 + core/core_diagram.png | Bin 0 -> 52693 bytes preamble.tex | 31 +++++++++- soc/Diplomschrift.bib | 1 + soc/graphics.drawio | 1 + soc/graphics.png | Bin 0 -> 103660 bytes soc/soc.tex | 122 ++++++++++++++++++++++++++++++++++++++ soc/text_renderer.drawio | 1 + soc/text_renderer.png | Bin 0 -> 65692 bytes soc/vga_timing.png | Bin 0 -> 39707 bytes vhdl_intro/vhdl_intro.tex | 8 +-- 15 files changed, 256 insertions(+), 16 deletions(-) create mode 100644 core/core.tex create mode 100644 core/core_diagram.drawio create mode 100644 core/core_diagram.png create mode 120000 soc/Diplomschrift.bib create mode 100644 soc/graphics.drawio create mode 100644 soc/graphics.png create mode 100644 soc/soc.tex create mode 100644 soc/text_renderer.drawio create mode 100644 soc/text_renderer.png create mode 100644 soc/vga_timing.png diff --git a/.gitignore b/.gitignore index b1e4424..d1dede4 100644 --- a/.gitignore +++ b/.gitignore @@ -13,7 +13,12 @@ *.out *.kate-swp +*.pdf_tex + *.o *.ghw *.gtkw work-*.cf + +svg-inkscape/ +core/entities/ diff --git a/Diplomschrift.bib b/Diplomschrift.bib index 8696878..d10cf11 100644 --- a/Diplomschrift.bib +++ b/Diplomschrift.bib @@ -1,19 +1,25 @@ @online{nandgame, - author = "Olav Junker Kjær", - title = "The Nand Game", - url = "http://nandgame.com", + author = {Olav Junker Kjær}, + title = {The Nand Game}, + url = {http://nandgame.com}, } @online{breadboard_computer, - author = "Ben Eater", - title = "Building an 8-bit breadboard computer!", - url = "https://www.youtube.com/playlist?list=PLowKtXNTBypGqImE405J2565dvjafglHU", - year = "2016", + author = {Ben Eater}, + title = {Building an 8-bit breadboard computer!}, + url = {https://www.youtube.com/playlist?list=PLowKtXNTBypGqImE405J2565dvjafglHU}, + year = {2016--2019}, } @unpublished{yosys, - author = "Clifford Wolf, Johann Glaser", - title = "Yosys - A Free Verilog Synthesis Suite", - url = "http://www.clifford.at/yosys/files/yosys-austrochip2013.pdf", - year = "2013", + author = {Clifford Wolf, Johann Glaser}, + title = {Yosys - A Free Verilog Synthesis Suite}, + url = {http://www.clifford.at/yosys/files/yosys-austrochip2013.pdf}, + year = {2013}, +} + +@software{liteeth, + author = {Florent Kermarrec}, + title = {LiteEth}, + url = {https://github.com/enjoy-digital/liteeth} } diff --git a/Diplomschrift.tex b/Diplomschrift.tex index f66dc47..93da7ea 100644 --- a/Diplomschrift.tex +++ b/Diplomschrift.tex @@ -17,6 +17,8 @@ \newpage \subfile{vhdl_intro/vhdl_intro.tex} +\subfile{soc/soc.tex} +\subfile{core/core.tex} \printbibliography diff --git a/core/core.tex b/core/core.tex new file mode 100644 index 0000000..5abc5e6 --- /dev/null +++ b/core/core.tex @@ -0,0 +1,72 @@ +\documentclass[../Diplomschrift.tex]{subfiles} + +\begin{document} + +\part{The Core} + +The core implements the \instrset{} architecture as specified by the RISC-V standard. + +It is constructed according to the traditional RISC pipeline: + +\begin{description} + \item[Fetch] fetches the next instruction from memory. + \item[Decode] decodes the instruction into its constituent parts. At the same time, operand values are loaded from any required registers. + \item[Execute] performs the action required by the instruction, such as math performed by the Arithmetic Logic Unit (ALU) or writing to Control and Status Registers (CSRs). + \item[Memory] loads values from or stores values to the system's main memory or interacts with memory-mapped hardware devices. + \item[Writeback] stores a potential result value from Execute or Memory stages to the destination register. +\end{description} + +\section{Overview} + +\includegraphics[width=\textwidth]{core_diagram.png} + +\section{Control} + +\entityheader{entities/control_entity.vhd}{control.vhd} + +The control unit is responsible for coordinating subcomponents and the data flow between them. Internally, it is based on \icode{instruction\_info\_t} structures, which contain all the information required to pass an instruction along the different pipeline stages. Before the fetch stage, when an instruction is first scheduled, it contains only the instruction's address (because nothing else is known about it). Then, information is added incrementally by the different stages. + +\section{Decoder} + +\entityheader{entities/decoder_entity.vhd}{decoder.vhd} + +The decoder receives an instruction and interprets it. Among others, it determines + +\begin{itemize} + \item The source and destination register addresses + \item The pipeline stages that need to be run for the instruction + \item The ALU operation, if any + \item Whether the instruction should branch, and if so, under what condition +\end{itemize} + +\section{Registers} + +\entityheader{entities/registers_entity.vhd}{registers.vhd} + +The registers store the 32 general-purpose values required by \instrset{} (each 32-bit wide). They are accessible through two read ports and one write port. As specified by the RISC-V standard, the first register (\icode{x0}) is hard-wired to 0, and any writes to it are ignored. + +\section{Arithmetic and Logic Unit (ALU)} + +\entityheader{entities/alu_entity.vhd}{alu.vhd} + +The ALU contains a math/logic unit as well as a comparator. It is used both explicitly by instructions such as \icode{add} or \icode{shiftl}, as well as to add offsets to base addresses for memory instructions and to decide whether an instructions should branch. + +\section{Control and Status Registers (CSR)} + +\entityheader{entities/csr_entity.vhd}{csr.vhd} + +The control and status registers contain configurations relevant to the core itself. For example, they can be used to control interrupts. + +\section{Memory Arbiter} + +\entityheader{entities/memory_arbiter_entity.vhd}{memory\_arbiter.vhd} + +Since both fetch and memory stages need to access the same system memory, access to this common resource has to be controlled. The memory arbiter acts as a proxy for both fetch and data memory requests and stalls either until the other one completes. + +\section{Exception Control} + +\entityheader{entities/exception_control_entity.vhd}{exception\_control.vhd} + +Several components in the core may raise a synchronous exception when an unexpected error (such as a malformed instruction or an unaligned memory access) occurs. Additionally, asynchronous interrupts (like from a timer or a UART) can be triggered externally. When an exception or an enabled interrupt is registered, program flow is diverted to the trap handler, defined using the machine trap vector (\icode{mtvec}) CSR. + +\end{document} diff --git a/core/core_diagram.drawio b/core/core_diagram.drawio new file mode 100644 index 0000000..1d26d4a --- /dev/null +++ b/core/core_diagram.drawio @@ -0,0 +1 @@ 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 \ No newline at end of file diff --git a/core/core_diagram.png b/core/core_diagram.png new file mode 100644 index 0000000000000000000000000000000000000000..46a770efd1965315d563e794ff9d0bd8b3a864c6 GIT binary patch literal 52693 zcmeEuc{r5q-}g0R)Yw9@m9i9y$jn$%jIl4n*alO!8Dk8_jKSE#2oa@4rIJ!NQV~js zEG<%LkyIj4DzcSAmhhfK-M_p0xqr{|9LM|o@gB$fba&_Knrpu2cl~^q^Ss(iu(uQu zTrCJekchRFnG*!@gG)FOxeWYRenc$`{6K`^tX+`c*Dj=A8UzV_3O9ETXGHnagQ<`* z#&qdQ8Lbt-3=3Drm?@*tk&%&_er(@JO*#|&4Sd94`qBOARKKN<(OM`24HQ}fg>uo- zQ^uHRqro329ZhXr3~A|kUm7*!CoMcPh8`U3tBkhL(bNK@HoBuw$`~BDvZIGYM1h}C z`nuk_+MB?Y35yj>b)%AP>EXQRF?yO9UEWu0tz7VS%4kz?9ZV0Rf*+PtN)VIx6~}P! zym<(X9s+KIB~Tbm9>b;qY$k(uM-QW^1*qs_G*MbQ-~(r0e_uBJFVuNYQ^S2}OQMBx zC}_Md-kKh06Bb0baI!TEqAiKUp|Zp1%#fwWP-snzroqw&;n6JW(p@r}85u@pFWqJV z9fbXSns*0d4s`8D_ocCY8DL5JPhD!@No2bi`yd@FGhbU1wzeKq+j5COh%XRe(HsOo zN&~e-M9(RPOkss-8(4Dm878{Uj(A*PP$1dfF^27erbIbJ0MR0%9knc@&~A2tIA?_k2>4xJKFoRF;stZv@Xy!F3QBx#vI1=66hF}@v;Gh){NVCR;5!~FIOsptiA(U>ABTyC2!i0#5vNiL? z`$m|$1>nPo7z1WFE}TTglY-2&Sg!6-czu*PAymuJ-p|I$o}iD$urMyZws@+kjUPFf zWf`rF4*rYveyKo|$y4R*q@b?me$P7aP_3x*kiNQ}{ou+fgzHZ?Kv*AEW1(}^U9go1GM zrCAVBR{l0FVU8T8fg>QKZ(`@Kr%gm-nA+Of9FDtzwyl#k+TM!6@<6*2{0&^KZ1rLc zT(vDdwCFBiDK~d(4muiKS;Y7S5y-x-!8l#_C=c+7U5p#ikLKskG0@^f>GBL>0q**R z;Q@yh;TV)1o5m#B+t>v&ozUiFc94Swo@gGbZ{rYcW#+6E7^LNovmsdfTanyJq?k~S zM>Ja}GA2OJl;jppwF?ioqWA}4f(#-&Fjnr?Hqi#UI%Z)OQI`H_2MY^rJB%Hg>O^#= z0IOJ_3<8|ANx>wFj)RSvrMbI1#mO;*ii&il;+=H#%_B@vTE3BbIwt;RQNflRTMLS< zEzSuaYKzkg(hp*~Ik}tYGJ>>q9hekT0)?(aWB6lqBgl4kW{$oQzV^;~j!xkeCdo9w zHH2sz=o`T{H}G{LGF@FP@Mghac``c+Wob!tAqRzMIavlWd668!au2fR;C20NaGV%x zX9I$xoxTaigXm242*A4r#gL-{A{-qF3|)LECNR>;+MON>lxGnbe7K1$o!H5z5$=SV|2Inu+T*i1)YTn{(4hq;w5!NSHPngiU$ASfgv)RYnG z>Kvit!3_4$jtHb!xiOuXfgV~Wcqap24?CuwE5-p6j3F6tBJGL30GV*4P!kf{%-%Kx z&2)C7*t>*=quk9Ij^^Q!Xx~T!R#;Son~onNIwqWC9}(!PtD~nw$+A zGYJ8q2CQgD5{VY=?1~{o>ISi`*ikrV19l7vWglc23Y=xp*Ig(7t{$aKb;9C|L z4?7+AD0)N~+0B5e>lRG&4+FF?9+a>cnvSl&gN?79y{$dPBSv>ID4pDG?JR65WcR>; zK)S0zM;beaPr%o+dyhs-3!#1JWDI*Cd$aIy)D3JM7d@E}=ZI5y6{I%xecQ@V+%vn!G5 z5Eg1}6B-;zbVs=`sTdbfkKn>7<}sWw3Q5b(fMjBzW5_HiVbJdAp2gg|8eRZs@E%ib;E}=|9G}?iHr{V)G zgZyI1EH@`tkZo~cE^b6eGzP6l1b6VJBwJ@%IG~Aga^tX^!#NBLI@r#P7RXB;Henp! z@Nhd%)`JIx1R{y!L9p|q zqb<$+v@AUEG#y(I<*u%gk*2P8Izf6ElAk-#(FsFi*bpOmX$7MP)-|I$p>;T9OC5TQ zrLIi?&EMR^%qG}9THBrGPV{Hn2b&QbT^vGKItJm+oX9{nKG?>@fa31w6vVQOwD)jj zheWuUaq#TW04+bQ$VkA5OK`XYH9VN)su#sZGf;jQJxdod!JQbaZ%=i$wqUsE6KsPl z9ZVdfEFFDawH@uv0yqS8fTOK@n56?b%GZHoPI4w$YrBR80BV7OR2N>c!os219^o9S zmMJ|{Cn&_l-rhi4+s}m*gA1kE>2qj!6q<|)#DthQ*kkBSzaV{d1k>J>6+**B>bp3b z(;X}*1|~#y3`fhIV(O?9O>lK5Gg9dFG>X*u|j^n!u{NujosNP0jF#@^bQZt53mYi)tHatHyL8)r`lWfMak zsip*?JAJXd<%EU1INFg36yQ4+eo<_DN_1dgD90d*>guv+1PitUkw)Z1#}KUiQ6ZG@ z047E^gidtRvNO@6IihuO5CM55@1=tcm~ z#%cNbgC$HHdDS8BH-I6FUzO!znF;>>v#Q6KpAg>S20;ptwV8=al-F?X@*8W-Cz@4> zTJGWnR@ihK=L<^di2U^3X-bOWaB_({##>1_q(PB3Fn_vhNnE1+* zdrgEDWxg8sf9z)U#KnH@Mq>+Q7kb7S* zTIU~l;yt1O9p?KRt3SUfR)m5f8dopRzF_i;cw(c#X2toEEhD&=_{$oTqsKU>D$bB9 z_Z$34oL~S)a*qnht}y-cJ0vl8cmJ^?6`^gFRom7huq{~B@}F{GuL&qXM8o(f(LV*d zXwyr1H)UMlnGCr<7kj7oOP#KYK#rqUZ&iQY5G#@f?8P)?z51m!F)eU|o0Jsvr#y|Q zMA7uQqymOABb5HcX11>4kAk{3^rYqc{xjBHxXyEJUPn0lD{dFy>7TwYpY~uj%Mr|@EWfTQZZC&P+AeIhNpF_k))m9L>b!l8e+nXRbUpWm&B zZ>oLOw#T~G_GEpT>FkB$RaYuR^6^I}K}1hdTwp9y`ohsd`04b$YUp(eBYbTy26__A zrx*0{*S<0y<^Q^{qhPxdp1-lbKtp-Ng07}Nn6XV|{JXK){KDaipn^w-Dz8@@uraJp zkc;4ll^HAi5dG6RHv-rB`pZ%<DNHoA3Bq;nUPPp(nI!C9I(yl+Knw8 zcRplaS{7T;a7K_Br0mnCjx>JB?4wU7I796_xDyv|ek^obz-Je_jWgO7T0-Exh6PVz z3qDDvJ;F1;xr#eAnN5^Lbh*rj=2m`eQuP~KK^pn zJGObzh9j?bW0`!T6`w|Ks11G+!j4DBjdU4(&B)5vVM>Pxa$|OkwrJ$$!1L0hZqFw4 z;GrKmhx!qWW5hg3eb_6qPDu^FCc6!hiQd}t*z6wCa8uYs3M3pbX0_&mdT z`+`yZ!?Z`~M-aW%+7t@ryJP%kH01ytz8<4Z{!53wawldq+d6wj4N39mZ}r%>X&x+O zets^^9LPybc)B}?Hto^VcFFDI1!U0d(%>>za_=%SMu0W*g)hYI4%PkN1=PiO$T z>M-?2p4-ytZhf-;(f4Tj3^`MEM%-T<>d~CNp~Ntut0~Ppbt<=Q72s~Y^R#Wn;lf*Q zqv&Dz7ov*lFR(6b+3-{ZRwj>F_*Z7JfnW!>{VThStt5zl(W1{>IdH%xM`?sip9yYw zNh;f{Vmy?AbqRhZy=!1cj4RjK#NNE|<1urnJ&tpPvroHj#GU^}QbB|S6d@lMRPMFE zsA|K4!%4yHnAk6p8u1EHWn-`9pSaG2tXBNO*}vtt|7Wt(pYFXBW3YpA|5DN~4_TA} zyB~!73;k+4kw1a(ciE8K_rE3YUqK7#1=>Ndvb#5_fxl7w*V9;N!}7m8{?|(a1%!ys z3QgyKneulca0P?E>Gl^iC$5&jLdBu16RZCWu-|19gYN%L{69r&KaLHD_nubm-dc5c z%e3c<8a5-^T`de&bhPL$zcu}s9rU#Rjgz4F8r69MTo0Qbo37XbXO1M&tg zBtvOGf%!)rVYNXK^@o6tM9S|(e`V%F0892c(jNblP!Rm77#7;6(r&lvpEdKpLjMP) z{{L=iEOPjD5BFa=SK(l0|k0UFDxTnne z&E+*wCA$M3MQjiKUKw~j_|c9R1v*D$fXwWbz4}Kah!+&n(C)pnEPLt(fN zDt;JHcgetZ+n=i(xZNaWJ=~$96*8`8Z{({;IyR{u@hUNAV z5GMZVCK5$mt54P5Q_6Tf)@Hk3)q@xa)F*pYHgJ`v#%69px>T&j|wuCvHtZ1;=a*P(rP=a_fW#21b- zQqlrzd=3l2w2l*ckqCv}#6?aI-u%g_TjicdV|~pH*ZVRJAFoFH-Rt=D?7X~BY#zj; zEi9;MqJZYn7Sewvk_l-0{Bb#FYs5v5&?ZURxwL@#Djic)eiurpsKp8~xcq-Qu9k=q`&O}aJYO?bs!N$zPZMi`(wV$oJ6DH$4vJIe8S zm!J;0;=(HatC|dXR9Vubz*s2D2}$qRP)^CgKEaj8 zHLmWKdRSADi|+tw`wGv0kIKv``egHrc(Eq4AKr;SM+`!8M?1)}fQ18#EFgb+@WG1& zyVVuT{;d$lYnFt#Ko^0vintYn5cOSI)Mec+MP0Oya@na0&w|{I43f!)3qno#p=vy( zUh(-_W(XqoIMjUh77_&|;t+$C%P`L)wn>)}MmLP^g96TMl2U{cSpw}N8v--5cLpE2 zW1rRd{Oj$Qws=PZ@}bK{&Moh!UAsN9i&c@>ykOv(4>F;?X8XL5AT2k~<}lcZ1|I?& zySW_TOtM2>h^pg`J8#Ju8#pHs#G~@-1{RtVW>OlTeqAVbLwr3Y`yTC3CQbjbr6D|ko+a+~T=l2$MUa``B z$9!lc{IJ{m$n!SO)ZwuLi7A3jA#VfTNNo%5C=<_8byz3uGvJ;LTgIQQASMfZ{Sg1D zPs=QU=w&RP=oue2{v#k&NK{+Lk9}C-?tZ$GmqV-thQFl=eGEs)uj zIc{LnDMQCAwg#R({+Rd|5osQAT(9u|hZ z;`MTDXJfD<7J7I$;|*Bgnm{sprO1Azow$rN&xFptpqDjqK{4ie>D-v0FTxjAjT~qt zi^EzsdF=yf?70dyjxaLyHf};7`O31F;zvr{7UoJC>y==O-UuoE?fPKi#jrmZRWd4sSBB20zP6AeDaM?)u%fw6X9!6Wf^`QC=I2d@e!GXYg zu~w>24_4n+&JXDLUa-A>(y}bbQOK%p#v$Xrn;6u8+Z?LMp}B*YkCDhT8hDhpPK;%( zkgro+Vdql%BR2L{vUgTb?v@b*-GKvp=K{5d^3`9xO+B4oxgR>dT5>IjzSUyLPa9UR zw69KHB{ExI(fnOk_S}B$`pv|-jh(X z;>+Z6(Zo>%ZbuTOse5}(^^d|IBWm$ z4n9DY?XfApDxn=Dsbq4Xy0nUByLr*sZHZr&z6#dDT3M1Cq+n#jCAf&5y zwN!c$cNu!l>D4uewd>7sR?eS%CCFYJ zs{Vp&f-$D*@Xu%7^&duZKUfIC%68801H+vuWvpV(DYYn?RfO zk^$+jv~b{sTVR5({NAv}a#HIp)z{Zwdayx$;LL#V^ungLaZXRgE$=LGc<+u^yP<*D z4_fO0YTupa8x-RwL<_-7z5`{VgqD%4CB_Cr%O5B-mwpWL(W znP|un-K1umjW^Z{EDN5xH68>P|b7YT{>|C#yII$214fAA8<2 zQ*CPPNZ%eclyn0Lwxy~?>mwQ!ZgC|5XsNn*p5$6v# z4lL5yO@j1+o!+Z7hHli`%1OqKAcIvfHM+jU8MbKLi>q(aUk7DezdY1*x1~oMs`K#| z1NmJHaD?P0=AU!ZyLjq5N;=&&Gv_6OrUewvO9YQw$ujVx>sgngw%zC%I`MS@)s~rc z>;pd&^)kW)AqUe+)9OWl5Zw!e|MY6=>GaD7RY-{AECF@nz3>ia{foCM8huXu&vI$5k7thyHK{c} z^3e5O1C zdv5>Y#NRlh90@}nF#(2?ZI_jR`-(@^R$o1(9S5^|s`0Vr@9L*8a%TxPNTY z+R);BeeREd`TFkqEyi2-KfAwW&9{5Eu5=#=nV&q_l4J0#OsBB!u9ZZy_O~so7Y;UC z_3fD5Y9sO8tK53cf;L5GF4j6epaEZ+Enkw*Lz;Z~;&r^LaX<_C4`-5Flf@f~|Ll!R z=pL{5yLZ>O>^gJ!vBP=&%;wikZk~D@{O@&t%!I7`K?{Qtmr>5zUQE4}+VW(kwnMMF z-H`91oVRxhxemj9^=sFn_axTS*lqKMUaxD2CvKjpP=}%ql6wp-ivgKT8R_DI3>A>k z)ckaeS^HpY!gb>@dF4N+Yk3b4gKChbn#pySPE=dSW*G(Vh_!G95YPyh3Ax(OGaJlr zK5rQnD^f^Q6H?R+z1^hT{gvTnP+u?D^!1PA<-a;JmlIe|e(e7H_uD3qak8~b)K%XL zA1SR_QL-E+2GiVA`+ z?UFV*)7^aM<6#ZTHg)3#XVUp|m2=;_(|f#9LQ5Mi?V!)y>#W+os%}^LTg#KE*y*Pg z;w!&vf3vZf^Sb_ualPY>UP;2)hdQMV-7l;!J@Se!su~nwyn3rR9nH>ht_!Z1kCS{A z`Qg}{{IN67{iW9Ael1YbZM#$Wa%UMzUnmAOG!f}-SG)hJNms?-W=L~$*z>JsL-O5U z1rzHBYqY1IjqD_LHG~SW7^W?EK(u@fn#v-kf+c ztRN;2>uMJ1ntYdm#9YQCcD!f~5cpWnfYmw7$K_SzI4#@SUZYts`~34B$bC)*%?E;O zdt!%uJNpaaCahfcXCvNrtj&Fh3KJUE8=h^L+9@A{Bs_KXv{PaqrfDd?JoKk zUv@*>>o|pF@X7;gDo2{c_&&;rCd#}__2Qpmr;GKxS-3v*qO$(%i-=8yE(bJ`+=;aH zR{=J+iKmU399+yw$!E6Ow`-ka5;hlEQ7W3- z#fUiRlX`vg`UYm4wMW&ap^}?3`>=BjA%L=YGULrE@n3VVWGE$=y}GgBP0Jq-#zd|W z#&5Pa%S=4p<-a^}3fW;4(orexZFW2~7v$0dTc2DTcs+Bc@obw4IHA!_d^wjYOuqpg zuYw};lA!_ZIW3S=5f)sW6iln^$oRX=&o{iS{3k9JoB(;0|0`?Saj8tplK^^*?V3!J zLkybb#l^MLLo`30d&2)3g0=E@j_Zm;&#s;WyLyB;Uba9b+fIblb_wrlj&uzD=)@~| z$`we6#wth&lCo3bq+$`k$e$Tq843tHloFU;eA*pX7yj(h!f2LMpl5c7iq1d^Wod7j!#mWw^`LUdJR^y`wZs+4|2Kp~u z7MiARb`Z$+d|;!FXuNU{qX|^84Df9%FY_QxgThfE5Z@e-%ARC|*)kVet8R85LHhu7 zDHhF`#y6*yxcF*As#UC65ot zQo(5F>W(MZ4sJds+arOv6YuF`cckG$0%@BHgC~tnqG+MfUF0T*F@Ct%M*GIe(A$-D z?=W}Zi`pp3I(_g(%)}YN_!u|K)o)8bT`jG-ENnqt1MbEfFbk5uWc(9g2~Rh1I}=9QpAWzZ>TF?*fR5>#B1!O*VO_OV5A+!`!T0ycI~`taGtsufpzHZ7fpf&a`gL56l8dA zdzNdfwQ2B@Y}JwXv&x2~s6gi;id+tNDpZGK&#EQk%|kquo)Zv9@&I>c^8U?e%gYDCsKd%5zp zJ)Bt0Q=<}p%qV=?>$It+%(&;{K}C@fQP6Nvc@5WTWVO*7dChOFs16`r6ms(xXHT#> zCFE=YX)lAd;*_Z9MCiDHbgm*n6<#USS5uZ~>#1~Nn`qY(1Tu}@O>q-e#c&0YIY^UIQ;ZE+z zRE-o=Dt$6$q9?8mr?HBG!P_XvQxLh;`e57NPh}Cjygmw)^8wSU!vRKVDuxM_+1Aj5 z*F%M8&E%2ohj}pN{?@4_{EYD?9#fI^`@+4JC&vVhq_jynBv!jl1#v{L5h@;O3miCr za!O9o1o})_LNGVQBh@@`mx#*#v7k>^wT&%QI0~?T_p`woLwMeQvd$wiGj{Bq-u5qV zHYU7GwQ;Z+kOob1ipiVduP6(zo(?c+LuP>}*u#kRb-Jw%qE0$-eZg&5+v8(}%_-8c zBdu>g191$_X9emZ8eNXdad1#LQu>(~GKVM7uHKcLH&PRiIlG>9#^&hH^TN}vS7o~H z&0x-kA3Bkt#EqHq#`BNXM!pVxQRaKw!tV68=$#h#=(2v=hIzFIQ0Y_6^+3+3r7S0O zKxQ2%ap=fhgst0E!9HTCwIRNZFRotm1bA=<-B-<44rz1I6v`WT})HY+D$5cb%ax3HYsbse!p)yHAk1x zS^4gE`>ObgNb44b)KAm3Q!;;~B@Bb+AlY&$P`{+T6-Ou>Jl41+zwb07_rSq~GYTWm zk60AHj2P)tf;b0~1AS+9oi5TvG#1@@(}(GdvE+¨j;U z7!pVzzGcNPrM&xd)cNqFPEe{r*vEZa#3r?c1&=d_2Pyl`8S!S@Vp2;<`qsG=J)jyX z_{_Hc1glufF8c+rBaVSL+dR9(SIZy{)#N9NLAA@l=9BG%XVPE_wH5SN?~%6(22XT% zCv=VBDaCEr}O2Or!kJ@mb?+2A2e|+=|2uxQM zUL0?)b}_gVI~!tHUpM^ed4i~IjwU{(At$!BqulZ=?rGadz4hY>GQsD;x5x?99uebm zu!oRrx}<29)ms6?uy~Y}orWr-GG(%cf6buf;avN3JEc~hIrunXr2O`?uaVn1MebAn zJ9=;to~tdzp;~7gWS3<=4(7CjIG*AUvo6@~ncd4Q-R0r++MNdt?qSmDQq1r8lNMW+ zNfkcUXw+38^T7{^m&FBNVQjg=((1NC4rHHJcMZ6_AnE-q?P%_ud;4dHZg@Gz+ie|a zc@wY72ubLF9pPDe^JIc0G;A*j(_*MR1rbxk$5ZsiTLmj#bw{tL>vb7pOMRMFpA6Ck4~?(QGQQ7UZd+?l0?pv1t4J=An}qzb z@?ddG+Pqki$YN^m+z_^BZ^vU$UKAs_E12KSp@#>9!$DrNQTCag3hwf{z><-JXCk*L zaoocFYVG}1@B6)w;&1(QN(>SQ|K)0;_L=56E%iW0%Z{D8mixVOL{bV`+cTO01#A*W zffiF=b&=NTFi03mT#2mkhDFs(eQ~leexp2BQFpbZVA`|F$kaLW^QEo{bnDx;QGl~* zGNr>pyJq!Y!*~8eqGdF{tgH*!Q1eFZ-6u}ZhJ{^PUr>7*Hv4S=nRWS+NAJ?|5k^j_ zv}!_w&$$O?IOkfTgdX&e`0s|?dfQd-xpsE8>U+@qZ1dO1xHn-ZN7$dn!{{+<%0gbl zgTMVjzPVVQx^jHtW@EFA$45JF5bnE{3i=cWF;T^XxmaOvc*XR~Cc16K+gG0R zahVk_oyn#UC{0eNN!2Psq~yJuz|Iyg1>ER$D%tjUuw+R`Mq#Nd)^4S|DE2UX2)2KA zz1V9w{FxUKa!R+f5rNh|v=c`w2~`D6=eQufn(j}{LW9V#|)XRy8@n5a- zk~YUvTjXcq!Usf`f%;2K18h_Uz$tfX>vm6y^@H-^3fMwWR`@KD2lDxug0-q8FKw|k zV?6TX4MyV79EN6wMBuoh?e`P8wh97+_e8qJt}&iGt;jvOs4{y+UpctWhE~-uQt6&= zWE(IR+76GXg@3W#ZQ=dptlPX~H!l=3J`yJ9#G$JgiYT%@8Imu`;uFX|xgjc*-jP|# zh;_|hRLsSD>&_?=R1%G3(|0QoyH$Eg&^B-X1TPreu%+Jc+OYh|I=C~%4e7F{ zar?VZ4>C_xs!E6;v~{VP$>A9|KqSGI&jDOUvFlpx&=Y z;X`NTLH%I3U1gOxoQFwsH1xmo{q2^|IDs#CF{_`F914WQo_Tn|6}o|+-+rTU8>94F z>xd+_=`zTKdl8j~%C`*3FKA9TtP4_k|E2i?q`zzG%cs(Y`I(R`75q%xik_V#=cEy_38^XJ*rIOUPwP;(B{rhGxt@{MX0M$=HudF=zcTnKdsJ!|(V_wY4HqT)z=p+h`mdH0}FK&_dAl|6(8EKYG zs5KJhRUQ~uaIP0*P>B4ENnjV%n06*^GHFZQcw0@qub+%4Op9-`swc=pK1~}D7qL7x z6j@2ONJmzG5)UN$Lq3jfp5=LMaq>s$(Xabwa|}nT`-&A!_w36xikpFXh=6TcN#$16 z`1MeYz`AB>y`ANPup9(Oj3Pa*)qzJ=fK!Q}rHC7U8s@cYtZ6RTzBN$;fn83(oB#-s zobi}9D-km$q&7FWWRxpOq#j%LOM98d@3^KK*s*Q455o1#Y%}f zO`pytZoT{je#5NO(s?SfcKm5yQ;9~LkPtV~`12M4&^4#~g?92U?FlLP!4>24RwPRQ zv!#!?R|laUGj4h~wl$nX%-msKhQcMbWo`+nCSQv|jBAvu6Q7J^t^?(r=#j#OEgK+W zZ*+pSm>ASz@BiQRruJ*B{>t8B{ZHN|@VIvv;6ja|i!Sth{g<-p8%`6iM?aOXGfr*6 zeSU?yU}}E)d-nUSaG%R*J6;RGT9xeVO!7zw+z;$pxJh-g7=NVEB210?k3PP_1JZjo z8=vcae=T)NQhiR{3~St|1gs;oXdTib{1Mh`nRGtM@6NS^id(Zak-){24FibBj@>m=XXo$EAMtPSULxdiu zADfp{1YW>fN9xx)BW}!mU6KQxUQg=FTw_gK{nM0(;yCcDtN;^-f`N`FpqjdHEB9kvcp}i9a)D0X@nC zJu3XtW9xkM*8C@zgoGYvJ1f+g%*ZK~YyxeLb=_n2nHvoow2|CREAP^!LAs9u0r0LV z%7o_?n)=G>wYQrD@A~XtRO!v#L+_4@E~~ujZuN2OqVl~9Lf;C5L(W`Tc;)~-#4>t!1<5jZL6fJENwsAumJc4}1sg5m%ISU6K0ZPF%v0_cv}GRRP)v+22!6Mxuy(LaW`@_n z!Fq78o)v4CfTH@?*$@Oy5}FunpcQBwgnsx>=YoNO^;FPk+ttjXsc-X#+2XLWVaaqb zEQ60MFWyeAI2cl1ca1Tr=;<_~ttG=0E1O8H3DJM+RF$^k)Bzp~Zss#aG97Y#eu+1# zDr#pAB+ZD#oi3rhJ$-j$7619OW@oSh<9of4T)w2+W6G_5O(hRjB3&Ul4YTizKQ2Zz z!P-WxtZON2&w8(X!HWqQG00gZ^=b%``?&SuTY{+jBkR=BGtYW`K>9RYvF~t?{EM$u zw?EM4*6!Gj{F!J0;!|geudu;UIEj>T-j`x9Mu>&VI012rL2(2f$JI*X!6@% zZ|_|h5*!@mZ&+VB^6K~>%r!vfb?ZOn#K!4YNqx~PKm@o#?WpS-`pVHYDY!fbITJ`u zP9$^7S-*|(?TOg5Gj7euj=KB{z2~JxULTei&)a&=|H-WFt=-UZj7Rav9wM2(FXYMS)7@Up&uCNOUByD&M>kJa z@r$m}4ZidKepYjV5MP}_Z!Y%CzBEW*#;{rX^M!j{9Tl<8e%H7c{mN~b$Bs=3-L`)# zOR#MJ0`&dO0@@r~t^{q}j}2H}Jb8a<^+jcONUgTIR<@*M-={YH`$d;&-qklLtn$v@ zWPhaLQ+00rt4I|{Hx3*gN}KPP5?zCUgR03$5mH&cr_YuvkYRgt18?CW=IrG$py-t= zfOApPc+PcpZCR)4nwkXrq@++p;|habT!2FNEkz%mep5`<>Qs$ns%1<|+oBDcw3*pP zTjOUlt^&_!{KK{coVVn}TWB?Blg=AueY47Ye8#OmbB*3;!g`B+Q>DS`$Y&SUT|cI? z3hv|aJ_oe&K(c}5#jSH;tL65s2QyiV>w>{T)|Df!sEtN46$i#dVG|Yn?k#Eim7P23 z3HS8iJ~piIOB#tC{Ec4CikVxk;p0?39>V4tmp=CNJ7hi@^{F8H#l*d0p9*$ADuHNk7GX5v#F`DbA3fKvg`#X@16k2o-IAhP1FIL{SCQAy+K2Nqqy zZQY3<>t}shjV$7sBf}S#2Gc9-id~Lz<5~NsWx1E(&0|fH9>c^#&>6Q&GS?m(t%r`S zb>M*sa*iwcQ|(wp<3_hcM)`xvI<;j@%aaI*#vx_w;t;HwzdHR@`V(EC>&|?-aIs(ud#LTqU&oljR!t=44O19RnH$TqB<-TykCgM zkQZVabe2aU8s%C$3W$gqKV5+7rVqvnh?_>K=#hTHmLy`7nB>86%;p_Bi>v7Uz6#tY zRj%mdaT7J#`o%D(=HO-OF5SVFK8|+p45zD&_w0le;XbgaVUiFi(uKed3hw?`ffRit zZY3c9d4)?b_A*rL-q`ut95`V7q65}6O8t{J7uX0NlWw%N&GCV0!}Ivlju&b3Qo-BV zD?h#&woM+C5%9SPb@$qvjS!%Z6`2BFJ z;vx{icl-)zu*0>Jzp_cKYx1JZ5qH@yu)1Fnx1#X+#UF!-^WF=V^QE}n0J=vh^8FMU z<9xePa>zh?xTrT*9qb}qzE^lH13G@p<#PO4q2RN#*+N?l3skT-5A74wyx?4PcR0F^p!rZfddYi$r;87}AKPCLAh0(4vD!{}H?Wi~Z1_cA>A8Vc+vEgo!3!Depp2ym-qo`@zf*iqi*KtWVXaQKmqz)5%D zzCWR}w7~drEt`ugev|RD>zs3!otojsSNG@lie#Klbc?Ds8mqH`=Y6iaG`e}$F&pt7 zgSP&SbP6fCv1Xk&DKua{AR{hgLT+{JYf7#$@WVrvfAd4mJ(ys5%$5~P3L2+Sdx!XH zKA&oRw{wV37dft!_YQv)(dhMU)9mM%U&q=E8uce=_YNxBkDS-K>>V;Ny?#>TMOeUa z=z)lNo)GJP6Cx8FGg!Xy&S0JX;+RFP?Z8nJPyWWE8w3`>`)GG)pFz-@A+WO@XT-B^ zg99N+BJJej{#NYm#2uL+;I}S&{cIcD$HB~eYqDn9FDQffEz#?%#~8a-lL@6kG9SR}W&uE!ro1~3@-*dJFYsTi9=!EM z7FHG}r*iL%?(mm>`q$Z~bGLdft(|HAFtr=%h%LDrvtk6lXI%t%jl`YfvQ#yk+xmM- zPO0RjWSe5)!LP7fQeOHcO<|z*tiIMygAJeBJkN}sXU>d(-5iY<{{uw9+1Qw@O5;zV zU;XH%`NE!_nOl(DI=*#71{Hd;G3~rJR$e(SDK}&AcZikl{0Xr|Ez83L6V)!)Xzj89 zRdlNB2RG62g_u1*7FN+?YMG#Pr3AX5q1q66)4*PRi*Q~Mx&{S`0omDX>>dk>$z)zc8R=i(Ac0kQu+tFGj-Q;?TM z=YA>d%MW~8uW?iS(%KR5-o{3z!oq8O=O}-2Ltzte0Wm06UhZP}ijnPWVz7CJJZ3S7 z|I7tk_TChO{V3C}({Y&7R6@MqQO8QUdCGu7ZpA*n=IqgEkAkq2Cz8vg&s4}>3SM+7 zumcMq>EAe&%l@0X@aZe@t&tN#i4ttCCG-%s-!sr0g0Wco2KC|gV;6JPcWDr-r<5M) zD}q;tHXDNT&!EKndxjmsb@A%OfZDL&Rk#2W?(-{s z+ZEm)Au=78#jmh`~5<6VZGZ3HW;9up{BFyY-rb9o^0x+}Aq)HzTbv>YL z?fh{HeO$1=<$V(@8@^nGQzFM(({#_@)*L>_w~9RBCAU~dN`9)s6upycn<;*R+(tM# zFAvkw(3yFD6nn<*VFBds7LxnXwHZL;P6KZx=x$}n_YLvs2ZkQG9`e6w(X$#9UlsE0HEVf+)sKuY zV_J+CiSk6J?ERbQOYOr?b#tW7Uvmwet=8YZxuEZ*mGS=XadUIm`Sq3cYn~qibLod4 zCAqFf$2Rbv=xf2M0n>=`vo4G%9^R|G7!Mk}F_S`gqQ)NCOiVCCYL(a4k>5|kve`7^ihURjXXobq>nTU4y$ zvVweTdDXtr9_sMNlBle$-*5mX@ZdcvYnJ~ zNbdccAEpjJO!=m~GjNx_U*IN?>kAPdir2vNFcpFN z@=ycu@AI1DvZinOU8Rp5Z+>nRzUBSQ^Af!GKW@T*zn4sETt9qLBn2Q-o}BkqRfB%p zSA%sc6j~Xm$Yq&#+&8ud4`EdaklhRwpdUD@4;?1j9D~HjN{th+Lc8x-I(+)v@PR- zd$yG!sT0rNtg!()7GBG2J92?vPz86V7C^qR5rF)R#BWrze6`swvHh$;5R%K+{#(G8 zrV_T<$0*7Ux!U%9kb-P)bW6ipoxY%+DFATR64$Q>&S#)auzY{^u952^G{675b1(Jt zyy5|P;km5Go1tZ_+AZMiy(|qvl{nPRU#QufO~ipH`Npp~`a=BJ?e#ok+5H!E?B`(H z^Sa`|`Q4Mji8cG70Zpw41HjJYWAVYXH~R)0<#}k+ymC>rU*+{*3nU<#+SX4l@~wQe zK&Y~F_oa%!p`%f_b9NsX*?*Qho5_3gVM39|%;rVU`Afw9O{mcs*!I@{duHy44kYq6 z-`$`33AHo8ivfujT0m+ki_9cgj{BP(m{|M$|cSG@t zB?H{rr#a%=2f^#iWy9`EbFqKQ#OH)<%vHBXJ~*<;|NXr~m~}_MmNLET!L~voOS2s= zDNIt(1RFO(W=o}ASn}3$dh27_Q+btLx!Gdb{7;pgx$Kd2`8H6_~+;sRj3>> zmtCdesRj#7bQ*JuVIdlAQlHd*JGBLz|5shhx6G1ftb{u^NXC)q*p#kQe*SiJnaLf~)td8r)w znV$Yv@c*^=|GN7BNQ@Uj?v*adXGv|I?`*9s!~GlB=im;h8iHbEA02) z^S)=CbH6jr8286F#`(2(93*S4Ip>=5nR7jBE(-DKz7y)RPc%4dmqW4L@>1!Ffu0Ud z-{TA&;xZiW80V6o_N#aZyueTDQ##g~CU^X$Go%)b2ctuI-F*BTcTm6RgzGBCoe3Ul zuY4bT%M$mVrhJPp@?F6X3v=H~LtJ-TDP_r{O@i%umASZn^E!J9zjt#H8~3g%3Da9X z$)9};tEn{&FR1>7Xf2q%W16TG1mMWan)sNA^M-7}pNb(*K*E@_cu$yumgr~UM%V5u z#eJFiL{EL4v$58a$Y{ZyeI0zcPb51E4@GO|8V+}?UML9G5cCjE~0u% z%mvJf;&_98>Acf2xcN}D1obn1VtJ=P?tTU&n~@^FIc3ary;M>T)P0E^ znJ#=8`8)qD8TrM~yl}nkdK>8jWwgjC+pgh^Me_=kHuYaH(pnM#e^gi(qtZpc$!4kh zUyB5-V%n51pF-KWU-9lXk9bsgo@y>7$mVZ0R6CWJ+=<}g1uptxma}ay@m6q$C4j@P zY=vrj66$^jY+R{Mg@|!>4sI5l)rp zkB~~G2%TziTQVhC4y&@3!`M3UL=)T03@TUh%j5suk-3yWN1wM}C4&2i!M@;?JK0T{ zb7JSpAOn5%)Kyqyl{{MM;!R)DA=3UlC1(ie7SVsdmUmfgt@k=3>>)4c;D({6JT(*V zTRG_|x_l8oHR*2Db_>K%|GDpb85Ce$a$~5_;kIQ7H*X8pU<$|J{)(0EE2CW3TbIjoN2$kf=x9i?}BgbbDv(HPJ>9vh-&oGJ&pl z1Y+0$7IS{7v-Ojx>)&m*17Kq*$^E@GL^IU|Y;%bww)ot%Hfw@NME#x9x*`S;&^xg; z&n0jZKg>$#sWjMF9kJ`(<>Dm8kgKx)-I31#^fjURPF}0-Rk2fA**H76fTk?eBeV0R zj*0NIe{S4bKvkfPRH)5>yLU9~bhAlAgp@9`lay3KI%^z%V5ViQprD8%t2E3NjkOMX zWn20999j^dvOdqgic%cLbA3XRJ+kq!Xy%JsEQ-@(-op7FWZ#HC&hgQHyxlTN_Z_*x zyE29J5;~%OO~oJ^G{GBW4I`u+^WQ0cj&jMYQIjc|{rJ`?oi2K&PmlycCo!LCM$-S(1innR+-U1#RukxX zWPMFKjcK_HvaV^{-*FRM^93U4J+C0g!ke0Pa2L}3E}f%?@;piD#nKJGB%@E?6~0px zzKUk*bUiKYUxe(1DtQ85IG!@>4*nIf=fevbxy6{S@d3+6`l)=O#nqZ9R9a?ku5gAP zonvr^{x8ia8!~WkeBNNMQB7FekbC?L_!M!;PgM)d49ApAaaoln0`g$%IghqIG@)Gw z0iB(@B;A?-`f~M22K;AJ15*1=L7b@gUQ{NqsT~2ZdCqwge`C=)^zdx3nu7C&9jCr-@hkkQ;-n7i&SL-G6#rEh9J}PC=ECAX#CPkSVILte%9yt^K>Ez1(NU2YnFq^*qeiXn*7wky6Xi(QNCN$tcd1I#ZQQe!C?QBsojepP7O>nxy)+^ zn0uBMh@iU7#NQAU74Md!H2LFZRbo#pwQ))jE#w2_r*TlYb<9yC*ahUO?lq4VTf`d1 z*dCQBoSqk1LdMuh$APii*gox&*X2;0T%P8K&+uKY>^{pMH%Aoz>eYdZAxgHyd6mDR zi$0Zq9nDJR*IqHK?lG^b$6xu@tl=_`cv#GNI&iK{3a1h9A41zUqIQkw;<{``G#z>f zlsu1X-nHp`=~khty;%4;kY`nJ3Y{yk{L6z$kuvDg$GEIZ!IiJ7le0iHWw9)zTV8KH z4T|R>e#)1LHTaEg`&!i~9O?g20NDK*_t{(NAApkc3t931s|rd-z!F@OLSTmY z8wp95me|Qe4r|KviO2I3QS3zjaUZfE+}wWa=LwR_t;Ynj8b}(`xZQ zyd|jRix(_%2}Hp*v0HIw+blCiHgDn{x)H_y@`7E&y-(uAd5!-ddI2E+>9adVPnMH? z1n?iTWUdT<4{ztlp{FjTw}MKWaTDP|<3EJvT|_S3VNDlPBJV!L3hs`*ejM#~y1Pbr zE0gbs054EQ=J56S81>~xR_P6uo2nSba7ayz`p&TB%jHdtD3+`j#9h0=Y*`p>Y3JmG zX8K#pwvptamt$g;*hpO8jTtFTI0!g-Zm7^`$A79nc??XG0v%`OO8%)nW~k@ZvYOv3 z+iCYVhi$~t2;T_mBWyI$GrPj}&k`g=&(s~e*QIm(lKrNJ&a>`WOFvtTi_WFBzwdee zEM0iP;QQ1g{$95!kp1<%tM)dHKvNCMtfsgbW`1~HblujC|*b4 zv+;*{+j@QIAHZ?AV5!&7BV#sEAS;+kT0;YR>W;;dxg^10vANt=5pkaJ72Wqf^|Y+H z1fGP;yd+Hb@W!E~s6`IAJo*^;Q-t9%w;m%E4w|`p3JuGcV-pSgr!Vc zBc`mqJjTlM_K-hxqdD5Osy`003@0`q9Iq7w@^?}Cse%5jnQi>fxpX{##%3`V*Zl#_I?M%0}-f?Cpo*E(3!{OFvxY+%ThLF2uObn7w7Z+tQ|3+8C!^gA{OJ}| zm%gz|=c2&fl=2&W;!gc24oi!}SwnDVCC0h-ZL^S!eb!IWW`1ljxUBXuq(&J@I(&rt zNsZoy;eVRAt=8J?t(T-ZRiSz~1Ugr;K?_&r*@nZ|-_jYxR$PfjGiNcj^8v6Yl*hHV z8?W4~q)*N{yK;N~OXb~l%;7KOHI-!yHy2d`PE6BO4!&leREFnzV!cg5sK=$IlTN-8xx;fYBZubFG18 z;u7^72V`4t_IST5-K&F0fJT{(=khupaf8W#&yDq(LldnWD0n!PBR zcud)?d*)@TSO3JP2hcTXmYh^oh!At&`8OU->QT2$EPn@SrMOUfocYl27nEX$(CSISXb zvZ-$HdhceY;y6KY-x~io616-1vPfpXJFbV9?&Md)rjv^}%m_2M6n4bns6c4nWe;=# z{9Z5Hboau;$@xGrG@&`H+s5oDcYL{-m$Ae^psvatxaZJrqbIDd6xZ=SC$e{yr>slg z|B;eUnT{RGdmLr2kfE@25&Le+6QB8+I>p7`k01LjLD6dO5byaWljH!VZ*v=mblpYw zP$Lv=6)Lu`*tBX?-Bg@F+b$_{n?7$Y701grepI~S-*C{vRK8-#EzTZjUC<%CN!_>) z#UA0;7g>A8`c+emojF`g128^iSO#(b){Y z9JQq!*o1IwT%B0@$%wd3ha{+qPXZBvkn$D_ZVFfW#h|2Ri$`O=|ieN_crv z>z9w`DEEey=l#K*ll4f|ne!-D53H4pZQ!;tjuX+~ zw5uiLID&fNfgLVVNfyuM^4a;Qm`1+%Xf0B5dVs^b?FAMQC?{Z6%JE{0FrN{bYSSp{ zViRy@jSSngA7~D#lf_+ohv-cErF*79##XZxHSI}IEbrHEQh=M4UmNL^=uSU0^H?Rf zOgPlItjiy`=WL&iabpboftn^*CO3sow86TgNJI8bocVWtuG{ zmt0-3H|duQgmpwk9k^fFzv>6UvHLV*NONI`-oU~B35FKS%CHo5=Q($Iw>vC8NpwSm zyl>8CzNh+D9N}fjxKEl!w}WnwW6gM?&9|H+@qLtsZPqsf_a*Yc7fkG4NYA+1H80i_ zMH4(bw$ddc#+IVkscOvkdDgbfev(ypS=i?2^=!$p1Bb|#l{G~ZdmD9_O|LZJx60jN zAx{=#%ZJ$Gc-x){vy09w2{3f+x+Hc=k-&2VtrSb#6b9)J&hLCuI18)m!&YYIN z@`9)qzL9Sw9u`hpY2x@^VLvDp8L}HE-jPsq`qWv*S%vItIN3zsWPiw6Ryss-qh;E? z)mvQkZKa1uW3RtOBTfBCp6{!Q0Kp{ar4U(`p;~34qyB3M<^&C2^u?FJ&m)qMfn_QM2fbNJeJ`&M#!x{aQUFI;z8Pj~>524` zzXKoZhew|5y0Fh>ITKFM1xp33ii7lyTfQqY<)tP$)*kDk0lno+%X|9VVfgb(%)0{$ zS=z&;d$xL>=R%NdfC(~0pu2&$ZQM&8H!oVuRwBSmLT>l_`;Th@y6J(0W!G+YO{Sz0 zL~e&)@pjV5lDE`#r%HQ#{La&@;<3-uqYfVFZ@yu9L-zlb2uY=yqrI;*DXUc#& z$tdaV&ZRHd-WQaUJ=G91=wglyirX1=utccvD87mI-LGet#2Pk%Rp1f&%`7pKPPTy{6xCxKPCzFhUI&drV3*yeBkoTG-jC~aHB|~$l#y{9@ zF1*OqKr{Kp^e2>#rVP@uP8q3{l4FaLX_9SqsAr153XfB&P3oPem~BcxCDXe*SYb=4 zIvckW?1#4ZuRU1QXc{@VRaa{#Untt{r(P_wQICFDe$W{zfzKxWgp71er}Xt@jc_jM zNgqFmT^uGf4Ak&~Hdcdd`@i6XVY!{1g2=kN@NVP*wczbFBycSr_>8k9h*WD&+(<{H zs7-OAkrF)F?pzQ$UQ}pzZ8Jxq$)vR~zydy<*vhWxpfP=XPX~T%Vw=#;es7^R=^5;n zddJQvE7p1cVfE_pC$!6I{!y>TI~vkAR1vXeI$kXyl?+nBzo~*YQL&*+3XMH;jsk^y z2cYc6vM2Zu;>f~gMi|*!IxtI@GC$9}G=dwtVw0m)f~+A`tIj4>gBKki&K{*%Y2p(O zm)<=)J61Cn>k(->E#bb*E$Q4i=H4p7=EB*uVc(dwQ&c2?Jl>m-?Xg{06HPM?#9&#= zYQJdIpH$srg>b6-1pQJt9Q_RP6l0GHbnZHvOE~hGGYRSl(n!5qO{s1@BEXE+OGfj>wZO^6m*iVSA(?Di-CAK(Uc~c?=4k zh{)}qXIPRkf5v15EF?(ma>qVcQ6%t*t%wM0LHjY8GJz?A`LlUpS!TQyTk`F=AX zHXS(pD7s<>-gLNv-+EvDt|S@(e_c8rxFZ&pY?g`hz^7e>i$~o%dv`(NEH&R)X6s zV3piQ;O9+j6PUCp)SBSL9e$v)@q7%P;z^*lE z+ezbl;ioS9SFuJ1rIHSZ65%|pIKegj;}`QH3FRUjqZg&VDGZA)Z4K0)S@>N zJ*cHH5h1fx+U9HELXCVi+0(rr_)=+}x*NPVVb)-_U0;o?&W+|ZbgHFGjix!O8IsXD zZ;oq62#wd=!-UFKW@}XMjyA8};orXp5xQ4`KXM1@ftbk}?5KNNnCM9-;e0g0$>o2U z7&a$>CoIT^md^yZ9jMS)6hj9EADa3(<@y|O6E+RZHwjr8J=_6)7IT|6MSH33Q7rB^ zSgM?RzO2gCLOnPSJqMS-J*zmIFGa?lcXqzh&?2qY4+G^M|5Y0I*ZD!?y4{hjkxdZ> zgeMEbCP;y=RDVJ9AjW+991j_~mfPYjgc|c78EG{a9d9FMm%+@ec>L zdH534uZgKLDe%t*709RkN2(Cc_zWur&p-V~`#ZPQociq5(QMww{bAkW?nh|Uf+i{S zEX-76A0P}dTQh%+EQji(pcM~4nEzZ^M^UAg;_lmbE_A;!n2wHV$|5tl0-(wqXI7+& zV_9Z@0TtoThEOf1A@{nxi>!Icvz`QZT9<&7F@LTCq(s(h^YvVP`nBn^8n848w{^XU z3HYIPqe9)n7eNXIPoEQsB&XztT_k+}`SeE2{K2?0JIPIn&Fiwjl4xa3zL?PVYu34w zekyT!SZ?zcneUccF~8V4kCjxAyY`Iat0=y2#9?rf9og7S`kwqL_G#DqKwQ}2ily4i z+ryi}%~*1!S{k1WQD|+FYzjUKv?0<@?DeJl{dr)^0e-T4z#CxSt8miRq37beYrLLo zi5!(O@@N9f3!1{SH(C|n$A_`tUFWMZG+!Hea5UPR?`OcpRuboyP-)f2Ynv_Xzgrs> z%e#-*s>fG0B{$QMuM0!KGcm^tmT1Q*t|_O-7%lJ$hH$eibiZ?HhF6QNLTzF*Oz_U2P6p}b2WQ(*{|P( z-tI=w5gyK4Uj)5Ba-&oFlU}SQc=_fun!1%3^OJ1f@_GJ_i+?!L+4nx-hPzjDp<#(c z@!FuQ$<8>O=tD%A>zAr1DB9G>n-x)tzvOUJ&HFIWc3t*?Fjn=OU7b^psNl)8x#))%MOa6fN4cNX8cx9%aCr?9Vu6_)X=M$)3D>>A`g znU*{qHeTQ5`BP`j0NMSqU++|1dpP1*pPHq0N3cI>$?EFPBcsMIBkh10{Q8EZgXU!| z;ca<~TUW@F^0E;h2LgCE11A&#l*y6oW3}>sb%K!0z!5KgatBiw1M&XQ zZGmR;xtkkRO#t7E_N=GI$v&4X5tI zl`~V(xy>C@RC_$A!=H*MX)u_tYAbMV3XGBdqR+9G5Y`hGSnzWn zlp9lw7cFLrsyDy7m>D^J3k{lXAl2r+UaU`cjrjm7^~?eP5_Oe99`2M#Sa>8~0?4JL z$5Yedr zz4i*rAblU~AtM8axopwl-J;f{-(9_+YDL3_xUnY$VfiNdflCXzBxZHU-+Xv0MaJZK z`3i3$pupV>KFR-6PjRR1dE&7tH*M~|8x1}FJIm8X%gn2gHMFG35u=LB{GIg{Or&kx zZK+1LlI{f*PcHNcp0Si}|zw{xX37BR~^)DD#k|cWe)*C74ol4}I?1O{#_$-$OOz1*e z9vf=FEMS)t6q|N)+;iP3V@)^rOOnhuwmnTxLQUb{Myr$8Ce_m>8@*7@p7B?pV*_2O z>uB$6o(0aDedi^wq)e%m&8D8}r&1{7e&c5K%^N>CeRnQqx$HYR->5rzS%OX;SzcdZ zf48gAc7tmT-(!1x+ia~L2YO{^tZ4f%mLc;4I(+m$TtCbVT}?9eNBVn|Bhpx5uz{Mn zN2nWP>*tztwOCN3Q*3IDbv_Bb7SU^>SI z);ZjRb)%2|4`t7uT&BMeoYkK4=8kmsesBJ^S7wD&EH0ZF0xYE`)$LX7^nPpsZi%iy z^y{Qk+mcGOOOa^b17ImSmvIkb_LkMw%YFBcd#l+xMWDTzBWLQEnIQxb#HA_!MlC5M zssA_1a9pg|bj1k%N0hN-+XLv%&(S8amTJfY8jb<`f+SJEMV_Zh@?DfEUnQQ%2P3!_ zyo{?(Ou4Uq*?+Q%eVprQm0DH0mXMN>DfmVI-lpWA0{0N)y3I+XWKxotzpiQbwr!R^ zg~%>uv72w+*?~#CZdF{nYWz6d=JS3N!rymTqUW<}q!_4mkbCUe@u$v5AAd7k;0;fu zkvud~R;u00?}}8|Ie0C2Em>m36tI)^gMJ^?BmamW1Q=QE4QU`A(LZ1CsC4_AhoxOb$e>;z=;(-%s6*G zRSic2SHP-DG1I{-$L}nS2P!x^I%N4`4 zFPX2Hl*aVkgkadaMVg!GF_zZARy$&WP4@O&*Z`|}KY=OT^NP=+}j_P^hOOPH_FgFk;Xp8Qo<9R zncms8tD$Z*pSADTl{iU!>95|EY-cq~p70)lu^ip#UEOS4ciGXywl>l^z6&av+!!zK zF?1K4Pe>wkG;AMx*o-gg#}qI`-(t=1^PP8kqd}s*-(R_tW)|(#aDo=_QTU|bc^@czGu_$@Gkn%VP(a*-NADP$gt_e#0>c%smqQSMOwWxe7xb7mG3+`r;+hi z6XM5GP3yi(`lL%e;af-e-Ir>>d2PKe*Wz}<^Hk5QS^c#W^|~a`!LR(c?dZiFT`@2e z?z0(vrr_?j@}I>8lfwbmWXc3%m-;vk{XlahAEJE(9HD90^yiV1sl1Q&St~fFUKA7V zD)2TsLr?`AMhlz6;{pS-;O_=3AHg-CB5K;@EKdy`JNv5N(nagF)J01B8Nyo`kF@5h zZ89J-sR?7v_(b<7qCW;~#VcJ*$X}=<0nbB6sIq9o$qxD)!{^fU{L-5ydeEe9eK_!O z8xJ#nC*;+)x9}yhuO#;uI9yzOT zdTvn#GClQlPoK-K1|()6h>S`M(Zcw=IW4Y&<$WBJX#N8JsijMq?tFZ3;Um|hW1+8#}ZNGOee-jEBR z>O{C&%%o70f&lWZrTu=Dh4?9VhF94_FXDQ;mRNw@-Zj-hZTF|2_I=fgXgNU3;T%9I ziPjxe&HSO(lO#u5PDl3pYO`3eI_PztdzMy8QUVhJ=vw9)x+!){fOBX7F`X+WGLCeQ zndXyhT-fp+Ar=y!_buzUqH1_3?5s~PeaPzi73nSnt%r3u}G zQ3fp-@gj$v0tyl$r)2l%a~qwv;M9@9_u-MQ0W;3bdj1O{6tA>lLQSOQv_ANEO9q$q z8*wEv2cwH&1s{BjfBnrbYj@9mYvujzP&b0bPq|rDUsQ9J@FnN zM=O}BWd-08;i+6Bun4)$cfc?P>r~*r^fUg%=VjDwSndO7%JMl3G`8b%A*s@OS$h8i z!7|>1WyP=r?{KF!;dndDl1twitxNr6M77NSSFOT_^G5bfOm68=9?+^f%FS9^OUef5 z{bf{tfe4v2xav5ZuTof>;#ZCG^xZ?LUs{wG=B*?c6L!8Pxnxi>o?T^@$i@6JZ{kK5h}dp zhI~PGCX-_OLC$y@hlcVu4_JiE1hGkVU{+4Xl}$anjB`=@zfpU!Rj`VEweZaw&ALQ> z-RQ24W2uiH7)gRPN`YTCEO_;Q~U(BiV=G9iNg4gDpMRuLsrD70{f`8=GG3awXl!#v17i%Jr;^ z$cXi~ymh=iG=I)t70sDxFz*2H+njoE{gp1Z#K84z&Y^|T3YB*73!(&lqQu4srJ z+oOyl?SI=^4l?4xxXaiOl7UElk(+lY~Zh$uUBKWbFbXGdW+xc#XY7Q*!c5&@iMIhXtiIl z$8aeQY0kiE}D(7oU*lP`$BOE#r9+0OHR>yUxrT76}EI} zRLR-J6uA=)4_97Y35fDdaOVoXK7+1VbAF?+$2$BhJB8+PGdziTLpH3IXR1Ddl(ab@ z>6w+D`V;Kq?vkYby%(a7NSiGmg{aU~-rdFOeD$=T3=E@t1!o$(mD)T*e#|N5jWtC} zzlAIMWu#(Ld1g>*B<)z;`N?0G@{-L&vo`xp^BjI_N$98nGvn|v z6L#IYLVhAV7E+q5`?+7)sqNUGDL(^JdJ)!7_K0=c%Vf2!*5wmLuZFF;3#syEiErAR zcJJIRCQm8O*dRzLYMZUV@=GerGN3V{O4U#20)*x9joZFoBa>m#_bM5|&Js^mS|!Ct z31&#|)9f_YuAbk}F#md@=kl22x1mXB%T})P1$xLHyXk){BL*x{MnGczDvZ~k0nOzw zE;j{pNlRq}H&UIigs(Y$P?ibr(jJ?B`G6?vR)@k5@9hIV9PZwl;M%gE&otpX|(HwUj-{4iMd$Ly{xc_cZY}9pU8qw3RzU~ zBEWtI-g}^w=+e`An~`Lp6J`L;eNJz;zP{mn=PEEr`0Qh=VYEZlrf=9r#lUSRW9;Qo zhiI13twF9~*NA5yKGz)_eg#u2VZ5{!u=*;tmsgt^OinN7f)yf5>*RhVtDR@-g>AGo z`BATh)7gEeBA~j;oN8Cn6UGOhy%Lls^Lw>;a~alAS{8mK3(H>{E34f}$tdYpo&jUV z^&-8(#nWzFhB(?=7lk)N$n)7sBk7;K3Sbk99D@X^v-=4uszwSIg)m%J3R2=GKlb~E z-kA4v|CV}=65?PaJ$Ox>HIzaR+lr=K(Sd9BHoZ(g*O0#A>PwdETz29r>OKMnqW^QTu> z9bW%$F2Mhr5&q{_|3?Ds!2e$)pe_ta=j~m5hDjFV8dOi$$DxHV-ez*1mzquT zB;hIZC)Mvd{pQ5XPtunh=^xCyr3cr748!@H|E#e$ipNTb&;VzpkRTJIJ6{uwB3(zjjJTG6E^Q=CTDsn z+N)!J&%5wKw4Yi!Ohujd>D-=uPtFNPW$qBmBVwo^CnLrzp;G91r*|MU@`O~JCv9a~ zVJUsa$-k>E=lQNPZ(dAaZZGv>;U+tw-dV1fySc5t2i@R}W>V68*D0K}gjqY-#bCUz zbFs%uD@GcSvzKkcJ=`WlP07h<$L(@HdL6OUJVGd+aO|ot{zZ&rFUmk56CCrZ@>Vp$ zxq@)l>gJ_S8hHVXDt}48)=o|{vNIGj#?M8qX>#a{fpfp>bUzcbA_?CSY)b2#j~82t zS@Lm`vk`U+U@JQ(X(<3X-njN=ERk=kkjE4^!ze;c+RVe!Ttr1rjLPIk1?O1rE1J&E zB^#m0$%3H#ukMm=G7<-46Br3s^-V%uz&t=xs>7&~o8V9624uGfN~RJ|)+FJwbCH9x z41v-eWV#S5fFs{BTI=m?tZQ1uLF<8gJvT5;0J=#P0le6Wr$&wpReN zj^yYrJ^a){I!#*DHJ|SCu5$$QfbPWmDwhDgoH!Tvd)0HuffDj0;y@a6k#td={PS)q zaw-A4*dok&*1X$Oxh9>th1(of^R&bFAqI|})R6GQOB$yE{?P@1Z1o0Gac+hpC)zI~ z>i`T`*A>8C)wT$BCNah_qnP$G*rRupV&djLauvF1%8f*I=3pKKn~#_DW7W_K94C){ zJjXSB-&t>7<+;2X%!h5`jjR>sauINI_v>&#i>={Su?&Oz6L_}M#qI$_`(ImZ^X*0J z`7#4J+Q`myjI{sUe(?vwvu2;9zPAx1WsHyxl)OOwTGdZ2H5=46AT#aJzS;`$=b2WHs8vb5g z7FjeA`91xi(k%-KKOsB!&$8|FdRmGW`Zgk>_9-n0(ucgP^r=)y?@ZOl5Iq3>h$aVc zc_26CfBYRK$O}69%i5{n80A|}xj3<$uE-G^TCw*TSV|a3 zKFCt}E@c!Qn>^~9$m>KtHmBQDN$&i~U8x{zQ$N#o}p-<>S zbCjWb&$G;CHL%O}$Vf;-z<&=ZB`ld8+ra-wmV-Syw@N?dyE4AZ1PGd!yirM*+%t3BqX2Y zf!DGlx{Y$*n)#b&nk^8ghN=!3Yt`C(qRH^j#_+e;b&H-Q0z>5jlD!=qAV*$P@vHrx z=549?;s0aR+QUIk&wrc>Ixuo<1EWjW?4$+$?J?884z^*2GSq!GIr5} z`7@hGA*2+YE%M;hZ{m19p}`u)qkU`623ruf!so3_GPY|s@0d^83{9AF!I83DNp15( z-q~S6-JH>M9afdz8*I^Nn&vP0*ui(ZB@AhNrfZ4b-K^2!b8gyl1GaKDG}+3RNM<|` z@~pJDC9YWtia=gD!ZCJMgIa{cln_eZ!b_*f;asy7JsYT{$obUBX>^V*{DeuS}g_4U*792Xv$7l0Ot8!NZIM|dpWul3caDKl62sDby#_7(wKGqL+Y20P0-5Q8Uu-lu7!_6Oh#1EID8 z|xDPKFSl> zU)>pC_nSvl4F zUw{o5c+`7$6mdeiqYN@#y8EM-``kecoMh~`KJiW$4@FF1{1n4GyU&ystR3IBJaK6& zexGD=%$KAAqw#(&M;p;OJ-=BUKoL}p+!?)TvB)=9zhlbK+q9rH%j|&=Z)f5PSV37N zTTpTA;tU6QnwD?cXHEp3E?KTr^*qb4$e^TffBlC zR!A;pIo<8im|H<)YbxUi9R;`BfcMoDya^=veb=v37h#L(Rf^WX1IN{O>F?1PI}zH8Cr=jUqt6k14nE(sIMHZnC<*AvhPdulDss@uti_9U zW(54!x&yz^@T0@{?IoM^G7n8rl9>lJHOIdl-tuaJM~@U=lQuWG*Ihgf&0u~_I;VsZd@rIy#8)AQ`MDMZ@=u8^&Y%{mEB#sqHct_+;BOO7 za}O}Hb{^4@8v3R%w+wU@Uwmq^d&VMDztQ)@`YUP(EE0a>xP)ShdKu`B&5m=eO`%!UbH#M&W|qVfoKbE|B+EPT)$Zv;0Ho~_ImU;n*eO`>Xjn)V$D_yRK4wbF|FJFUuC31eFc07=obyaG zyF&dhcNtOf#nSu87%pv&78)=4%=J{l34(Vvo-v9RerI_nhV;kIUo$(t<6WjK3Kc(W zEUFuuifyk1|`N9Bw7BRS#Y-2W~8u zv|LhDq(~3nXau39Xy5J^vEpV4? zC}p?m^bb~YVW~p5yTc_$YTlE~qNs&4(v)Gfl;9rGclW55WwDa z)XLau#69)N!?fCrM1im|*s@$bel_&9D4pV+#UzW_YSC1xucLANXMJ&sW>5xtaT(>p)@-fD=H$NW52q&FCng z9?{!}qMMvm(-=aX=Blw@pa6%@N_8u3y?>>Lzhk3b3wOZL`gRyxp>KEEcR3SNzFk`+ zd5#!z4>nl$R!sct=}2Vo$*nD>^_%pj%&=Sj9pw69`rYzc(SJ3PNSV|)QFUEU1`o_Q^U zE55-*tCIBmr5juMSdI(O0w`X|{fQ-HwA6i6BzPU;atKEVjNiQcEvXQMY^T+CicqkR z8;*J>TT;6kdAskdJI*$A5iXcwe#ZxE;p+%Zfp6)G{%rNO-s^2RNbMaA*q_)epFOam z-SqE3u^6MJbBFrFpm|uO=#nij*D=TN%{$8x`%E)hE_IqUJ1y9j8xHD}VJ8O_O@tvT z$dTsS>%S2qH&|L3bM20mSFOr3fD!)z@qx$Od@sEm-8Nq+wuJwD&cs{c-vu;vPX!~@w#%3dd?(~#h%b1Sl) zOA7;|PD_@|tn`ql4NAGHpJ<5zt6**c9oZQ+k7>zLGxN^rLr-~Uy9v^o2LoUknw37s z%`IC0>tYhuVLCi(4kkakIbux~wEC;j4CzzgI`Od6)A?v51jVrAx4Eu-Zr-^G6S}ydn8L42pYbF^X`ylo_!0?PhC)cqlot#yr_AH>DMYt`nBqK~u=m z?Mlxab%sdr7b@Dx%##PLw!!cR6c*9X6d9K?ZIP~9)}#Bo!Iv4&H1Dj7xB5Q2;F3-& zdWtBNX6}l2iIW4Lb}TW%C3wS`c5>*qkG+q@xv(8pn;_QlLR|?G zd_8tM*1%uTs1m|qd!s0@eqJ{-f2d$Uio!Cw4C8F50!iLVK~{Q=w=ah=MxWfR!IX@K z9ii*_yTJlxozMnyg{-K<{n=<|uG|dI34vU%PA93b`6yh#!KO89$kEn`pEqtbuccrK zs$pPrsMnG>>95eRDom{*nVST;*<)RPaOsVH2jb$C`MhvT69tzTml`5aK@7st)(Z8_ zjAJ}4g+PKop*j2*T`{fw*FYsHE#Ik#>=Ci*k~1mH620RS*wtoL>@9aOI(+^k*Liop zhghH0f2>PN$#;2NU-g-!y#F<5FDj!EnGay~=+Wqj9Etg~;0yezZ_*};E@W|_kH*E& z3Y>sAod@MBYIR|AL4S;b$tM7yU3Wg$WQlx4?s^5vnKDExw)28tV>WaFDf|t|!^3}< zrI0qqRwZ_gJWzrdWLc)%2+ltTek+Yv6L(rwR+C!1#Zdjb>K5#NQb z-T!2Ix+CUq4Gac)5vyn)70%p*su=r9|7^k-NO7&sUbcnM7y<{^C^8n6bvR6P%qY|DSa*VP?^W+T zB^C6)+PluMrqXS_6G56I(nS$aN05$%CLq{HX(~vEfR3OB1Vnm`QbuW(QBV+Y6c7jy zrAikC6e6JXUIdXMq4#pv4kkM1%sl70|L(cZ_{V6z{gt)eZ5}|)0BQUWD>1j&e3ppq(H#{?pKM|V*PkP?_@LQjwgwyX(+fMt_c&~(i#{d73 zj~*+cQE-4w+WW1xgjVgf^Tyk#+0e7QzJ>*3ZtCt}QgwL@08zFz)WMkx;#nWyEWa~0Yp!ztw{mb^ zJ15R8D8vpc>ro>6h;m_44JMkLplItA;_Bjx(&?N;1xW zcYG`YU-w?{CeDsKY=UVQ!C?dr!h%SUj%yNV$KV7O8X@g4Y2Tdy)t3xa<_&M9WXGlR zZnNq2wfk(JNSp_k1tbH3Zany@#$#P;Y2r^jU_3HN_m5a?z&`0bZxH9Q5<)B(1h48(w79^Z>;sL;kUoI%6w{S!3(*f1P;O>XXA^T zHqlR<3Fl-*@Of@p`F3C>3s2>4fO5oXWVTuBzQn+ww1&kXmMO;G2-t-k-yR|uLkkY0 zZ)QiaNGBg?1-H@6PyGfw%;{j}Zi>NleOv%8!?kGB|09o5H zTpae2Y`Vp9JK7aD{Yn-Vd0ppXN0SE*{ufSV^D|#!Cd|>$w`WctWNC8hbA|M2#IX5e z#40BUB?klQ`i%uW`JW+BjWjWlz~6*N#O@LM_c8cR5hIPL#TwAUQMeSK6ya5+oI$h4 zf`jj)V-QmFROY_brDJ)pSapnrgnaH1?K4nIyT0LBF3dK_b7^{!!S{V?TKzXqlmg5i zD`N8egVbrX;`VHHo5@SPAYqxuj5Hj;G1S!<8S>XadE}%MNWEU7ZWsU`X@*PQu1>u# z##@CEZY1mM6O&#&5Q983Aqf?Z`D3eU9i(Y^-gwI@YHL`jC*|4PzKs!zR#bK3%?ev| z%n`!{PUCO4M;#UMq^^3gGK#$a{UPTCkSg9xLEEed+DRG2MbQoFU)FtCQw$qiBkFPp z%MTy;Uqy8o5cV_rCYK)n${VKDF|V;PvC9*oj~Xf1=psc zeO@8<_j2aFdd50j1vZ8~8ceaArsEX!2vTgz5orhITHut-g4J12oSrm$VNHm_be$Mm z>rwt`iOX*C*G0-u&zMEEg7a#)0r*ufqGHGU>yF06G*De(=AMZxPhOD7F|ks+H3<&b;`1VFeUi1$ zhjs@0OvhQKzA9KvhcQ?w7!43w-fl4m?B#{cKR_)Pn2E=N16zEOXGrtm<&KcBsU*-a z#r*qrR3Z1%(@itAK`#5wRGPMmfo-yGPku2N&fu$2<`%d1%2&aD7XuZ_v|zQa7WW=VqE`$8+K*W7)s4 z8sJmrsiK|gEY-gS*DB%+d5&Q%P;CMkISV1Y8>b|SB}yq2Ddr3{l#)ZQMJ81^_@Wz9 z!s!r=?RkKqhHnD0IjveEvSo+V^+QFU#y<~tVOZWCoo%ENT~6&NK~;H@pP<~M`SHVa zV>CyxHIn7UmtnnxEuOAxIWYv?ltg^r!(9|<9gKF+kQ{T{&(RCDRu>Ez=_0xghxti7 zJ}y`S_80yZ`dwD-nz8pnB7^Vt-N|ocrf-ycVEVRLFTednort58{K?u$>MPoyb<>K}}GRSDbZt!M3 z*mnJFd8?ycjDVs?vYLc-@Zes9Al%bH|6uQ9UAUeV?UgQ9zAo9Ife!k0djgu7J`@SN zw@u2*Y|sU6p*mOYe1j6U_;y^HV9_t^Z2TJsP!w*mL zlcG*o>;z1q4aUK71?ZTSh6E)R`Eb!C!*XHpys;*m?=*)8vRbPTHiCJB5*9j*5#R;0WaZ2BI?VPYsO~VR?(V?{ zRzdJYdyr3UXDa&;F~_y(!bD0*N9Vr16Vh|FLOw##p5yOGle;B;6>Pna!4_xfwd|vz zFa=PUmD-zPPC`iaec7|dU;ryw5Ff7(GOzU`wU|99K89$uf>>(4vFv9?-`6KybiUni zgxb~1`}ZAJQ`#-yGx?ZW*M?HLU6cKU zPGDm}cS!eyi5+LCO7}1cEjm62maM%WEulwwlvS5J%I@ThkFg9Oy;TlpHo2VVXlQv) zPI;!eZ%<_49&J_27aq|b%~Rd)*`(X%I{LyF#RVMRW|zdI6^N_M45wRmD;DY4W;+^w zT{EODZ*^%%l|t5i9Tv7|o--9+VHY!`HDh(KP}%GEu7@9Rwd`$e=OkD2@$3%!>& zwxsX~=1_#X|Cp#|O^aF4dUVq65~iyV*RHhqC9w0WsonD(9b9NR{n5f=|Lwrn?4ior zi8+JD-8VI4n2QvrX6@(SmHV>{*$Uh@953j;K4iD)Gju^vn=YoCruIBaGcduo%X%zQ z{QDnqjO2c581-+TVT)NN^NF01XY@UnGBZ{##MWx}@<7B&h~15u1@#D#wcg>2gTwX< zDGE+y2}5;#J65}~Xz&t#0={8;L@geC3DIdzvycasjP7h^=YeAZQwsBMp3X-(wcM~w zuvHlHbL&)_x3&!6w;o<>Zg*)HY`~oxkgX)9`?Gnw=%OXQ5?or5JTb53wB_wt=@Oxs zE8b|SPr2WG!=aq7`=6Wl4_(G|-PKXy=*a9cwR{)XDHN(Yb?x|!4uw04^pVr@Wo_nZ>pEf5*)ZqL zij*5u%7jd}w#_yUm7H(v;IRt9YouN6XpxZIB)B|ty}M%bO1%+&3)G+Y>Ae%6-ExL2 z%)|WU!@DlEnU4)ks>)z#)JdHrA(zp2#pAP2_d^rG^?Tmn3bwe#jSgprn{mSrPt$tj zG8W>!g<7tE;ZdhkJ-~1kev*WS;%6o%E!MfCF$)7)jV$5jt{r-7ig$F3kIz=UVl2_A z&e==m>I_jF&qXU)`4a_~KjxRQBJ8T8L*21BsAMB*L zM0I(B>ZbuTZMn zC_(4BibBieuSFZ>muqfz4|d`NQ*To4XcPq~&pS08SO{2CG*+Lu%sLwG(jp#prR0Mp zlsMQa#+M$DOc#m8llUAvGsPvZnI1e5vCorgVCRck2qJZt@84q1)3|GX3W}?>EF!hm z?4kKVvM6|6dRs0ome}F;u8qX|^?mARk9QF%96m3TT;IThG$fgdXwW=vO&*w27YXYI z9N77lO~wam83%ch!mZvT?fiV^szye<5AEiw)*OhRKGBV1#Wb3ZZ0V+q8C*{*ns_dz ztv2;W$iLlru?}=&9qlF(HTvXrNwv13$WwcV-g|<*&#SZ9*@DWd88y?MV~fL5vQNw| z*-1YdRH;>-*H-GkS*0X~2;d6_s-;6?=EC;}jaGCn?|Z5gXW)M9?7Ze1cDo!m+pH9X zBpkmi}TlRMZfiU$Jp=p(p!X}S$E*?6ZcD>G6!>BIEX~-=c0reEL)K+q!=9=O{elmRe=iY z*rYRlX`0YzEq58+BIrFn!6xdl+kV^JqI_gPx{g{R9vM-YdT1}wNk6EaF^6n$uqV&#@?orB!Li5bgR0p(>#ucW# zEuXR^Q6Bh!*gQ9Fi0P`;QRNBUH|#50OHTKb%Ia`S=OLnHDfwmdReUfn|luJ`8ecaV9J@*X8*n_t=8Ftb#!TL zU!}}0AJfJfiEd&|}S=(+S!3e$>`5p#H9(aegM z^kVN9iVHSpay0m=w!32a@YrKvcGcN5d-Cyqf3&>YHkm#2dY^=}pnMCo`pjgn|7z;k zD^_A>*!BLA3#QNpJOj@dOW{D9R8QWBa}cFaBMqOnY&QF)g+gHn4w8PZ*W?v*y(F@8 zKFj{i%nbX2k8O6CeEC{a`1>qU`v`Hv@xbX^t3g~Py!r1h9)cV4+(CD&kT zy(HTx@p-+1=}ftOX&m3xXx6CclPYFW27h7gnW4bMf7%4X09y^~o)iug+c)oZ90~7O za)t_a0KAefM&4aXw0t%DYsX|VNg}PV>enevf~C7}*}Jhv*Imk)u91}~_!29V%Y1@v zz1_E>8KSTmE=AVOyTF>nc*#dySv)mnKnP=XX?MWScGl_yW=l>^SKaked-3kR<-?2d z53Z(7x?NLK8z}F7srXqrP)G=EDXfZ#iye=6&AzU2X<%^FGfKm~rhI<0mx|5em~F|r zJ!IL7i**oN`Fn|J=FizFym3?GHlJuw@RJj@*^sq7oNC!%WS#te84P8-o(MgW0}daF zcwgB(1)+OGp0`!!TEad?-=$~eXs{FE+!9{u((zukw9k2^B&+-BtdeKAsgp^6qklmK zB#-y)hS$??&mjn9*Za3?1^x^<9cO+k=NF?`Ta1N!xWzm%e^R1gz`)~}`AnOawV_Mq zj@JT?RXl1kqwQHGjeqiN+gi0BCwkQYdpY~qqQ0AFB}G&sK`tG8l{Cxyc%g+oOJ zeI$wbWqzdM$c{~d!4y`Nm8jZ%oyv<%IKMu9}ldF1XMWoxOUH4AhhuH$*_f zv-!GuJ!1qyt6`c!2;+>5~-_&VUELc|kF z>C@#ysF<<21x{(Bo0*asjkR5e3>hU_RT(2qzXzNnX#Y6&kx=Ti|dArHAL_L`t{uEUrF z=_2b~9iZ$RgS#SP@%tQ#BV7qtpOb2Ue~@P8YS8ReP0lQN z5H^@(@OF{el_^$LRuh<6*{OCK$*&%Zma}f;NSi6a-+d>}9%L{_x3?oeOm-KNe>K9< zp2nhrEO#(VZb(yFc{Vh{Q>6Vk_OH@fk+r@~ky+pK+6`}kBO!}_Ufc)y*pQx-qiq7H z;E_{WMG~X$nM6M~I@o>(0ZQ^W^##HM0^&uHTwmZ$G_AR#djhi0`$vaL=n}0*&zdY~ zRf~f?`>2|QsIP!XmK2;yI~ZYoiK+c159os#E{WM6i*nlcS19=;R{WY?R zRyhxEY_U~il#|M%u?-*TQyYHq9i&36GZ!!qzbL6S7!(%t`| zsv5>3eF*RL!+?x~psAa?P2lIXGx%moNJ(G4ayxt^IZ`3PxxM+QP$ z1znC>MEPqfo4R3?LAQZ7)?PG#XpFwl@;6@tUF(Nn* zYW3$~i%iL%AX{S&vh%>(_5GmOZXFA`~YL&e;)dV;C}@1e@hV0%n(;E z$%<`HSRKzV&X4hOS8&pKj_&~O<%p15Z+xY$cymvq7`H$R{-MK2l*sJ#_AtH67Vvbkps4EP0aP2YKENdHzy2pyk5lK7O1mzxtaUDG2sGIFfIY5+tXMuIiZBzfsGPPVqVqTEtq4JzTrW4gI3A3Kz5SmE2fSISSkWVExQjcjyzLtayR+GdEy9IAnKA0xBRHpclsDM/4B5JGQ1rnOEK5MpERkjCcqcaQpCkKmWKDlJKFOm1CEvWsGYyRZngOYaJb/8IRm0qraxjNwCeE46k8dVANzGA1WRryKYzIomUCDyNwRwlh5a9ZcYcSgV2FS7nusWe0vjCKUrbNApx8jpH76bPzBaLHxevf+e3E+mBKenK2rO4YRRwAeUgom5KYpDB5aKy3lMzTCAm3Bj9q5vxGSMaNJjf+gxhbSjbhnBFumrJZIkdRgdk3sfzGc+Thd+lN/L4v2gfL6iBldFmuspzq+Ht7sFm3OqoW5oyS15o9cf7ynsWN9mIpTTmZ0xBtAlBqEtIYsQ3zQM04jxREZohfIV9HUQIZflOvA0rNxvU8uXRMKVy2JmQEpyxvef5dGPgEGX02h3flcVnLVpVIZ77vGZvm8x/lFVRHrVtpTCvZ7SJB57wS3F6BupBamvR20uSlKlCTTAA6krEN1UV5XXJVo6WdpWx1zhNslrI23948v+8+hpV+eco3mMwl/n9+HAsu8Qynsdj9UIooZIRqIaIGwGKKGXrO4EooC74Rq2Kf4CS5Iwl3I9aCCCJ/EtaCbo24oY9eJrU+3xBlqNisUF1RcgEI1jNmLFr7aMXStLWFeh0FtUXY4mMPuME5M43ZzjN11vnZXqcklRvnJ2lFzVDOcRONdaJEcxDHwP2FChpzS5JvDqhnnJUFUjYW1S43hAnMcxxW5kec1FeZRtWklKSotMjxI29K22rFOatWgvNqxfpfKzvlFf+cYrG0vfoPVLDV6bkeKLrELdrtFj2Bs90W7Q61RQMd5af7Z6G0NCTRoSD3g9kH/xFA9u1fDWRbA1nPg034NzniwKJm0OKkh4MWxs4aiCvbgU2Q22k2tBK2vHytCVrjKFAdWY7qqKebOlZD4vQlua/jpwsMPc/wFTiBvWULMljomXrLl+Fi9cg0JIRGOIUM5RrUTLCg4AkTHKciOjkUPC2CWwEUDmEylgMzHEVlwYJy/AO+rFwJomSnzf06tyPnXvjiNUpelivmcYB3DPXBE0dUB95cA7w1GPB60gu56OZ8RzEiyODFQ+7Z3dQBNMi9kyLuaoi/5cs0vHikXcdTN3RHF/e6zWY4pD0N6emVIK3mbxPopdNpkfY1pDWQ15ZOPV2XtkFW1RHY0PPVD577er4pzMS1TBJUyFPuUGnt27KfptLyXFURtr9npeV1nk7aZsfRwJWWGWhKepnnYpUIxIkooy49er3O2yfb8rXo9U8ZvdYRGp/9XyaeK2QCt9OcdJW+bciYRrfNOXHMWHp78h7568bH/vx1PQ3Nn14Rvkf+gHks/rqehuZv3+rnuvi73Pyp1xzvkT8bHIu/rqeB+aue1mtPxy+8UDQNw1aANX0pzHP1eVViVSLFTQSqeQZTBW7337n4hO92QlL2oQRGfMMRZEUzxn/F4m+YvFZ++HWVrsqRKyCx+ryifs/haSSCk5JoDUMiRdEVk6hGomXoPdtpSdTfCB6FxBfh7npZdFUWq3x2NhbXdd5HYDGmCKVXTGMnGKuHRi0a7ZPSqDcAj2RVIn39convB51OaTjk+0F+2HzrX1aEzX+YAA//AQ== \ No newline at end of file diff --git a/soc/graphics.png b/soc/graphics.png new file mode 100644 index 0000000000000000000000000000000000000000..a6ef2624239944fe6a6c05afbbf1d38db1ed6674 GIT binary patch literal 103660 zcmeFZ2UJtr);0_XD4+t;1OyaRq@y&2P&`s%0W2UvS}aHtqEbT(5gQ`JNK=$@Bq~Z3 zP^1SHP(T7GM5HMYLXSy62n6!2jqRNCdf)&1-ZB0%{&DUY_nzE+*n6)v%QNSE)|@-$ zppDfUK^Z|VF0M6u;pT_AxK=iCaq)_;;s;ljsB@0sAH4p5?6q43{u93H)K&2R1LyN@@!GvI6OjtG_G29)>IN(S`(>FS&+=TLM9ZF++xp|C|FDbN^Zx%X>tAX8w2uE> z+nQX%>i?Lt&-y?42XO=6D~I8$IiA3lOSXJ69roQTbqR?M>-1Lq4;IN37je7&FuzDZ zWMk{iD9)`?Ub&7{$)z57TmOSQXxnnrlh9+TcYt?l2+ZNo`&&=eo}Zd5%Zd39{;Ip_ zV6QmU5F>W45p0-fCDPjhH+b@y z!skB(rgC9TguvLy4)dRXkQq-rd}^I~fzlS?pKIpkQTb$8g9h8grVBuu%KU$MQ%41p z`_=j|rwC5wxZqrEgko#~}5CtsT$DXJo0Z`hwijntAat}e}QZ%D0cviv1Bf1r$DKe+ByU|PjMNTgwdH(k}{ z%567Oei|E*&6j-dns;G%PDqs-!6@(0Ou<0$YNSHhzI2~g3ktCTX>q!ok~uaS|5d(e zwl!q2k5Q#Fuzf|ptdMKX4hAd-rX0g^(dEu)8uVf}` zPKAF>h2vsN-pH_)v`oUzJ5nuoh9CI3NaQA7XR6!P!{tMhQ=b&xjV(NRK|IEO;~w zrYJ5F!qaFdg;B*Mro1eP^N=w=KxYrZ9goTnX5fU*$e`G;!~FBi58K-hQJD8bHq6kz zghaN%OEpcKxWd0`b>GA;u8zXR_oPKm!Uq?dNW~Fl3&soE4ck@6+17+y=25)n(a2jI zg~W$RZY=l^NJ~&A7iQlRXl05{5p(QVb43 ztyjyMXSC1<5-73_4JW70D9G)npQ^-2w?>9`UG{Ih&bq>-6;t9v>FL>(zIL!-d?4gTl;q>tYwR-k``!s+AT$b(2{P8Bma??scGvcff#bsrqjn ztIZ!C@?ZPY5wR=*b&IwR96=^K>1N_TZT zz7$vE!P?Eg;2(^hd7T+Kb(7S8iZsZFU-C&R(o~>fl-fHfRF)O5^o`(OK!iBOO=d}< zr%Ten($!W$0HF~c^XzZH9LSrjctj78UhyEOBB!D0b=m-{yB~cDX&ijlfy_b+eZ^>) zlKS=fF>#hdlO4?0^EHyAxRdA{cVknNZvRU~`mwVNgZEmZqdoAh3^zLlW!#%{v|Gc( zkkUW!W}!(6EyNm|9AHj9wD_3AhD8sP)yG}(?nqaXxL9sVaw1;SSNL-%vnIbJnJ@Ib zrGG}W-2}M8fo&kK+Vo*{S42!p6xHrmIPn)jT-%XTJGA<;!ZS;Y zjuxjZtl>5^*^(hXnuXu-1vdJ`>F$@03_?=S6RH(1X8PF<+h<1IZpQTs!3}fT7*zPv z!Fu}cK!=DW6EuIhRfEUi8yiY&&!KdqCbD5WRS<7;HL*5)<*yZ5NS#f?v{@Zo00B>X zIV>c8+43>{Y3i@k?!74D+JO!vy4rOzi0bIdAE~p-#E>yVQ!QXhPN0outW{}gG9;bX zcXyAi_T*&SylgDDx!%y0_YoyYsxb_j!qW{=pVJ#&DpEAMavBa-W`ET}#iYCE2RR#? z%$=jW+~2^gv2&$re${9q&&D*Y@cC+?|4TX%qG!`;w3HqxfDp8e5Ar_2-+SKy`F<4> z*ZPn`7$%Hedh&Mq`hwYb-AEzkp=V+PZ#Lmg#7D2fJ2YK@7@4vQNlT1ZnGM?1O1YV$^=Vz3RjSf#$w?r|$8%hVG zmCfg0s7g;s(masHxSqCZdqSH=ubVh2Sj)7#RNbTU*4_n!^corU8fS6WUW<$fm|t8q z3j+UdQQb^|&zVXa%pL=gKUz{m9fg{U_>D`vgI%Cxm1{}b`pK$b)L@u#BaB#OXzbVK zl!{tr@yVl}XQu{Qx?i~6XHUq}cM7IcC)=6NM$tXzlbFhr{UJ-E3b<_F#Djy6KxdKSH=-)kvHjdENa4g`g0O z2N$Wy8Iw}D3iHOy1#;7DwE~XpNa^{$X_6{qwn@veGmsX-Mywg?$uo@%o0EC?=8s=+ zmH@%od4%pY2+lwzWQ#Hwy$#}_M8dC7LktJ;aBgdGGL@D{^`lj~v%E`>D$I;f=tH|G zvHk1Jnm>+bbdEl> zXmlCznar*}6drlzK3*EJG^iS9vZJs6PxeF*eQpe1RsoBi=r%V*Co#7|Dxo7;`?J!i zDS?X{<^8R~W;p)!cko6d{VvtFOA|;8xnEhIq$4qK$wJ=PR~h7*f>1VQE%ZA>eQ#F? zTha8;ysL zlm%I#&2Fj;$`pOIs{?LjeXMZa@g3ilRs5K2`7tk-*%Q*-e}pvK^0-841&4M$pn*~4 z)-V{T1d!-|BBE1;u;~7>JM-R2jsE1hQpL3OD#1?FNwQT%o{rOSzsRVqtk+roUVnr6 zk=I0!P}HxWcbr((SJDNFRGi>ijc(XuHB16K8;+J`Rcf%~p`2rm98&2)sI;hx{^{7n zdmwPsj3{L<0!6MDgFJiR+;6h=2V0{lj#PW42<`T_KDwWj@KicJ(n*Pyr$lN?3P&$6 zE7!~)Yk-tgbGQ&T-W0_$!OKf|CFsd~X_`~Vl(MhNEGAs~^;*SM;I*r=2yx)Gx6I56 z!+CP0i|5y+iMEdZvM3oz#5G3h+GGzgov6DNj}%0_D&S65<);Xy=7uWmR7HyKhnk(o zF*~v&S&X}PUJX8x-GzZb)lCCo^7v~geW(rZC#AxHBa4f0WeH1B@CA$cri&4YP+gXWyHww|1?xuN|9N7>;KvrYuz}(rvdYoz ztwBM91~5R{6G++dPk4@1KRf9e&R=JX3mAl>VgjBP?Fnlb^o#A;1^MfDffN!Um-+I^ z3v!U7>mX}z{iiiZIwDD)Y=4Ilq6)olMsd*(Z}f2T=Z1JC5da1FSpHK`w&<(PJ@5@ks4ys2Xlc-c%u%B~4CSQjy{-dfx;`yG% z*4HSt>nTxC2?`QkIC%fDAsa8FUqX=w2G$?F`#m2Jw%u|x7yd^y|M|DeKRl0tbw993 zvH~v+T#j&D|HRT?n*{1>Edi^vj#b40(T%G^F^TJ+lKP?K<@++d09fCVw3i2O>vS|L ztgPbxXAJu(0qBR>JD>^!jmI#s{@mi_g7}|?^+RCG_hBCZOGX{DLxZ;=JRqs@6H`3@ z8~(u>${0(s8DN!#Ez7lY)IX&B!z#Y%B~=onM_z|0fH**=EvF$||3LSjUbXx~SL2f* z=H0zUrDf&;%A&dcRlr|G^sfT`f8qg;(#-;GI*s;d{=)U2Q1MRaxx8^znoh+g;0*@# zyVW4*xD{2m_hh21#_N<3%a~K15E{6j?fAvWeRphr%}=4zL}fGKa+)DVnE#Lzxc8t$ z;Z84<$v3E(0UW{jt~bA`?jIi8dOd2q?d?ug_eF>yF%8oL!33$vBdP3v+~vu^Ww(8l z9<|GnwD-TR89U179G%+Na=TYdJEOv2>oGv4VQ+&sc?{ecIpuaw?A)843sJkec#2g2 z25`{3S4f>*4e+OQ$S>iNL{%&g&wRuY5 z7O7WOOamlw!~+16bm-OrMOtk!&rkX7Cf+^6?I`h6u-|`Ru|m)J^}YzS7Ej$F8Ot8* z1?(jRmj2(s@3At{rqlms>|gzE`A%dgH#ze`ssHI&-e&4lT#O_HYg@r$Z_jNt>`ECi zcivID5oz-*Dp&BIS|t*JfMn))M;vG0Qq$LDPXYXe@O;-deC`m_R{pJ0w5y_g4!-N& zRUF1M>_1y?9t*^$oe+g&9S9doo7f+qsCnB-L|fU(>?q$qC4~G01hgicXF7Lb*q_92 z7YuHNLe7S7A!JP8R>I8PpIbW?v=ma1LH9WgFId1dEeuP~_gxxftx)RKYPVMMb&UMl9m0+zkjy`7wX3MTpBW9I zeWffcGz>=jlu$$QR3rCl(}uw$#l`z)$hJ+h!f_21i6ojV4tG6Za0e}8fPMwP_Ie45 zaKz{RZO43x(Kh$60%=@|DrwObb~*y(av!G`n-cd;5+jd*wv6RIV1t^%D^*QxlJg7QVj(&@K0+y_3A59UCCDUSb1yc*&zgj(Fy0Kl< zr-XBp-sPictl7TRXK0~jZsLu5n(XH<+rL?f_C`oix*t}IJZ8b7c6VCv@Lt{m8Ar&% zavnber2R`%i|d|y2T(7pTP3Y+5%6>usq@hq$~oZDAp@}gI$>z9<AB0G%RHJnyf5NnJtXE%eJWW_rT=+gCoBB1`M^(J@OCI#s{hZ7fR~x zq=~8oH{#-R>JnDR8BYjFf>^f5;!OVN^ISUh7ptk9}H0m7-jU zV28xuqMK!7S|55sWR#gy$ugq4sknI<6&Vlio;~z+wl3jHq81~Wp_P|dEKbU)Ww3o2 zXTp~J=J#3knJlGKR!UEhdMO=B(eq{dBTI5jP^KBBf4Yr653gj!!JSeRh80Aw`vuoD zs`Py)qxcnpZ=3OlZtT^~Sh=IMd~X!-EJRbw`uqpA2r=9&$`5osrGJ{qZXjP*&T`7< z=%rI>F`Oqa@TEvn_j4-!6MUgycT%YXNh!L^O;%00zaV*r9a2RVboV{_Y+)Te#E)*?q1Zh zp^JX^5H83=v|q0nyT^iM)^^$h57`8H$j;w-h z{|lXsuEu?`TJp!L@ufzX!vvgVBaumH@H_XyrzzpQa>!Et+#LN@$e=If&Xu~8eP63W z)$3xt3)%5>!|!})Em=)rn3mv?85R((pFUw%{aTJvRvezE6wPwD8t@0<>4h;_mHLM7 zmP6tNmeUorw$f;rNmP!S+L=*8{m}~`wz1U|3a$0;my`Uz$GMS*#Q3&6cElH0q||65 z{&9^`I*qffeZ-)aCP^~gZn-S_N2tSS&ijz`-NUO7dzcwDW&5AH!FmPHa(ddYo{kBe zc%?KLICX(E2xz0^iH?&hu6EHB^!{me|EGgv^je&nf~#RV=RU(QxF6+MU2O}KM?6&e zd7M`dmcC=l{AQ%dte5T#RQE3nT=#eJYF_0AM=KFKv3foA91ecPt~|6UWT}o3$RDTk zCA>vYyi6&A1|KZdR&Y1|Q+;+1{Z=ijBU09w>5;yWqC6#rL(5LL;cHGth7-;N^AG7f z$IK(bk_Q3-J8x9Q3~q8Ts%KJtM>)=?TTHoj>a;(gjI$@1ZM4S)hX}ZD@XgJ`+4xB& zac`S42$Qy1kFK9{Sv zK3^eYnRu07Tsyz7t40oGvZ-C_sES%}0gmS@+G#dVG0tyv>0U^67`m_8eb-=}dYvqw zyqBJ_qH3_WZ6!w;2vE3#NaufM2gb)^n>UPQh?jC5$m)w7IahR0X2zTj>j^; zi^q!+h->+a1UBbhdbed`zaXi9zNMeHpzoe> z?Cai7tgh{9i76G&F_NRI6pxPHDRjkjo90~4Om#b}D+6$3tOZI(+IUbh`4(5va?uIS zM+BeqyPufeOAMcS=Q$<>9PSS&7xMaDE;Q}*$-k{?jk}b>I-J7QfLZv;6k3F_eCeH= zpNh`)r70SNW3_54tG@UMJ8DQJ~$?E_v{%f`F>nLn*GOOahidII$&&t zS8D!XY_IgZHTfH3JB`MnjMsTBlX>ear_*17GTuT@lhPGiTVisWEs9cQ& z8M-27zcH?IP3Tk*<|miio_;^1<_(fX&8nPiDtBFnL#xd_jb{%=o$>GcW9cHCb%lqu zF!sIM2KfO>?!wp$ong!}l+t5U-c_qzAt9>#2O%DAs{*hZKrprVXxOf$M4<&|NT^^8 z*rjSBLwWCoV-rhlOf}ji6!V^D&0Er0Pm<2>C}cO-d*ZIo25&R1aDi1D9-h)9ZCudD z+qhCHzb$otR^?BUH*n3azU%B2(d<3ZFh&rgOa8|(4hYKr|C>RX!$Z5OIprLr6O5-F zGvRo``ht_DJhT@R;plEUEM4BK0BA@oqbd&^Z!sNQl~B*NbSE}o@eDxvZdmsy)M%Ej zm^=^%Xvc0#bc0o+>8jUCF0Q5fD*PXY_o+q(#LgKHu!P7XS>Jsa`*{^81nxeO|HJkz zb<_1ClXV-lw?RI9x%+nrSwp-$a$+e6EngNECcdG?49(x{VC1Tx04rl_qaLM~o*_le z-9D*2^cM=4>NneCoX*V}qZ32uf9e5C%+QloCp~tR^(rlD@gLldu>}XLF0)xb7~r`7 z!T^&qo3SRK#)*)zjsS&<*)nIpW|?RDM?$$IG{ljjtR2g-FHgnxt>$rvelfUCn%&a- zzJq!GAB-@Q-CVQja33QA#F42o*@%EsVT|N2gV;H5>HYM3qezxTR`Z@FecV`M|7KIw z!+n+}D508@gxDm7B|UkXvBa6(e#iU{_!Q-iFP?T7UHuloZEVRvqDGb@c3 z2NivbEKQlEeE^8g0H6)6;GMN|tc+8=;;i z!d@jaNnF_7%W7->jZC<(Ga}EA+s(%n4g8%eMwo-GNuGOOg4W|^cJ)B~9%(sq`fV{z z3|%!Lkz|sWJNJk_H$UTf(eMX5x&|0|2iHACLnWk1@ab*37eQow3zlOrh^zT?Mdx3+Bya6SV2OK)@P!4oh zItf|E;N7Rci^<<_gVyqYTqA!Ew%`%#pMzbj$lx*_uV(cWb9cyr$3fic%HM#09@<12orfxo;HP+$Cd5cQ=DY!!8&1QL)A)JpWqpzRGfIvu!K#s}gs z-zocF+xx|Q$-D>57pWX&GJD1>@CvvUI!WOEO`Y*wz0jTiQdj>{S5O@Je~P;LWw-yj zrDM*&jPk#X^8Y6o<$vAs|MqBUyzYbIe32Ug@Q|*>Ztt9~1B)zC`Os*sD4DVJ6 z?TqiOQO4pBX@2mbqxdtjq28K9#Jd{?vd4A)k|!)DMo4{Z@8GnQg(0jxwyELizPm#* z?=Kfkgf|xzYp%qi1#gj<@9hBx&nvln*0MYG)S(ZqIq!8(ar>WtomD{lOLn!ae(Wgk zd4nkdyuOyLDNLR$m`X0=f4ZshHCMRF@Hub5C>;>f}YS zV0m|$APTjvfT~aaL&ze~ZU1+c_b-nYJyJ*)YafK}mOf zeT8n3d}DK<76ZBEW(;lKt)Tn<@AL)ump?bp*;W`DdCA9&&(SYd#-9c=s?j3;&L{N%nZI{Z-;A zjjgwjiG!1|S4SoOvf1TbXI|l{u*&HC=wjlPGO3zjcVKBNC_J|6C0QWpyq!vj$TQl# zrvx9b9`gvxX;80abfkVsnft`TyGo7rq)t|zzs-rHBb3*s?dGJIhwdSG_dGrwSnQGW z?sb>Xp+5vy9Y|g20?zX)^c6q;W$56W$Pwz;t-*sI?W++d`x`n_Nf8_DBNPw1A&o&Z zS-Fq7VRfWVivMstZ6Q8Q2Zv;3Uwb+5CPFdo89vBW&4iTU*5#cPE}e2rL%#|W{a?BKL{K^3iwEE)ONhHuRa-^+$3k(|Cbk!JRwfCm2M46>9+41+*@SkqLU%zS*V+2 z_L4^`hN170)jVGB;g%DgUaD_;K8{@`w$R*2RqMTMY#QlDNU?2Ad8>%(Q(YW5NqsMn ztRPN>d0r~lS81yF19qCYkdF_F35eO(SDCiZtCaWbC2X-;R3**Qso^-{n9HP=qwX zJy&9<1e{G%rziIn2VJ9Hv2ASzY_d6JQt9F{5$IR&PLLNbD zPA8JX{amIveReAofi$t3R#h%Z8?go-Y+79cc%mPtrOPEmo%jdiRO6#6nL=RVKrycbiZy2oV!KXJ^DaG|~jeI&qvH8m0bD`&Udczd{yi4mxAUK()*PyJm z3LFK4gVW7_T@En!VNLBOKj(@@stHW>)HThxNnU88MI^Lhvn2sUx)GrP>1y=oaiAhC1h@67upuk zIbVBrxy^>yonn{5YvKZ&340@7R{6>03|D~DY4VjRZGWubvOZ4pens~mqDpN>^j&{+ z_@7HE6F5*TOU`GMt+{f+LtHxp>g~kJ3+l?LWA?0HG;F`WKPRVdP(b!vQ~zv>3;Chd z{6jcL^idoV-Fc+fI=x0O-KZz0A#AX2Pm{rpk%&Qfjq^qHMnv_u&cxM+!D4Q_%zyV2 zV3&V@?z13Vy=_rNPd>v!esZa_H++h-etZ*lFE40TtWj0PxDl=-#=UkbriSInIb0XE zi7)XY^x&6>aILuamcU#N+4c=b1Pp1sW0tix1kLbwnnxt?^WWlMy@BT&cbRrc$6?9o@vzDc60<+f<<| z^AS2+xE6&h8~v;LA>>uh1jsXNSkW}8>PD=ULngeXa<47d6hl}byAV#X->hv_cY(?b# z!R8R16~h^E(=l+C6%RH4W@%C>Uz5}EqXOW)TOot&X_o%G8ls5hLhrlcd>mNi!U_EE zf%bj=cw>p=;$f~zR_+c{G|rt4I}NKo&DWGSP~~Rch@7raGA?R-HUvt6D!TibxEoJ8|yGoObMa4Dqj z)ydS!_gc=K3ymS+HgR6(x?cN};lbBRHXWY2>o)n?lt09iKq7A|ZBxHMi94?fjnKRW z#EF5&qs_l!{kQOEk9`VZYTwww>|Abedc|#SAu@s`R$ic#F%405)o4PEMY%V@Egl`Q z$+cg#ou=fXa+p7#>8S>e#~S@TSR)~>Fm#vr$<$hgoYJJY%8C&OX*DI32BrciVnv?Z z1w)l_day_o1SznHy;y-8^lMU4TtNQa@q(ygY-mz*iZ&n{4A;|xs?KQcf`^bbwZ9IVi!?8J=H2d3G1yTG|{a!Dw7 zqxPQwk1ryNe)ZvgLqiv_55_Wfy#NK#WJ`G1R#A}Zf~hz&4)3tEdJX(+8sJ3-jezK_ z31a(S6x0s};er1L68zOlfDgKf2AWjl8U%-10_Pn( ztGmb}g0ua&^brp!^^DY(7@+7|pksg)9+C8&lm3az%`Tbc%kbx)gKXg$X5Ph0*k%s$ zFjpsgko!fwsO_J;=+A8lIaZC`H>vmn=HghJWnuWc>@UiA#?cA%P|Mez&#uOnHILG1 zlTuzOPe|jH&1o}bFHLZz7NO2*38}A@5PcW#?SRCw5@d|KGF5N>HQxkF^K?SaW@`sS zxyZB1`C*V^lJ?l&=9S!o?5kx|@V{)>e@zJ-jgX9j9cpsJQTLrPN=4ND1)POX7Uu})~TRsmx|&0)ey}_h;-jMOM+T*V1_u3d_CYJ!YSxF*~+dj%mLQF z>u|4+XX({Sx5sxgjGC=5JGEz300SL-LIO%@41rKad+ve`JS5TwjwUv1jpe}a}f#=yD*Ohof3XG15eXR3oR}wLbH+LK~43+PTBEAqr zkToV+XP$iadHsqtmf=fDa3{M)&VCr@Afac=QZKv)3__-jNpG$Rb|jCqAj`6rH1TVl z{*3bv+g}*&S0V_yNr-0vpIgk@1x>Cn*JZof_0Ifh)SM$jfwD2;MV?NQ*o8MXQD(|IT0^m7mG>ootqnAa&4ikr$--_J zC&hm3wl2bCx4+g6Jxp;p74G3jh`Q52U1=rL`z+$-d`o;Y4mbUEuZ_220TL~CZFxL; z1FyOuHR>@mOsc!_(>?DMSFb^f*}$8dv3%JWC~%y`H4Zwsh18HPrGakCk+4d_hL_Z9!UK7d}O z!fmz=b_HUjnwo3p!EjHF1?!>3d=1<*F41U&**S{dKUZV3>xEMtdKMRO2TqO)NP?%t zCmOxBjR`nGAX(0P5(;b`t&|=LBpbZQ7J)Xbg*^13^3gh|G`tAx#Cn@5!YG9K6CLqN(qP{;K2B$&VSGa zGvnN=5T?S&8-aLGnsf%PiB^pV4_zaGAnWlyTF=Al4MGa}#7$^?eNqS^{tT6G3D)vn z6o?}JCNxj(=|-F{i}2T5hX~*vFSk(GJ*jM#SpXgtA?LWL*lG>D&o4gqC?vGPZMp)$ z%E2`$`-)wf$DpRzaS!mZ2kc(H-0`j7=Cme(J3bxEmE_@V0i!MkV$cnTxMN?$uf2X+ zbfb0%xOb%~AJ_8Laq9BbkwSnbS5{g9U|C-h71*13#f>UZq6xx;VVNKh*Fjt2y~1z3 z1fEVri;ib?9nc6tC@|sWmdsByu-wxtfQrjikS^r6=h{?xA^?)n#$S(tPFNuz_~&2t zWUt^|HEjoOPhXqsC%Uol3%Fg#^rE3zW+2%3_j9yk;7Lz!ol-Q*+ypj$d7}j6><{>W z4SgFcNQDF^ZFL`V7%yN$&@@9P2i&x%aMBpq&~_jQN&lXbRN_5wBBwR>+6x5pDyhP4 z6N@6_8^KysKiwOPc__+* zi@rd9KR2!U_blKb~g3LAQ`w9e>Z4ZO|dVQ)wHoNlSlKh<_OUX zkYqrokyDUPvGqXZGRpQF!9!(4!CEdJ+5{X7FkJ-#TcDArkCKJk&ivRkz`SocZtJtC z8UOBqF{Q#eApKa=%c0=B+7vu$eph~|MP?3=pNpLIq@o$}3yl4(SR!qhe#EyG9>GI zf=yw#rP)I$NpuCi!@Oy)3Ec3s7{IQdtL-E~m$6GAH=7AQGjp8_TPF!}#|gSqVJBzP z#|n$CVT(1XLdm3^qhKoI+cfL&767AKj!^!D)CWl7!SsjNa&UPO23-a{$AK=GhNC1f z9d-unw@$u>&u7sy82z*>R#F!f8t2*tl1ZyZ0zd$6-VbEwTGY5@IZp$!8>XK5c6lBR zU2b&)4_J!NO9u$}0Rjl)NTCn&V^a)G-nRxZmavWOS&EB31Pvv3*_sWbYw#w!PQ$DV z!}lbfgA?{!&L1XJm>ULiH{|2D3jNRXkJ7?PS1+)99p5S48fQB;79OlFd)5!O}=7`-aXxEk;XZe>v(MljWw7}0AzTg%`VkpS}tU|bUD6{=T&#khd* z+qEVMa30WQ)8#T|UIXZrM(;2JdUXJLJ=freb*lb2#qdt~xGEK+t6CXM&{0~nB5-07 zYZ+>mp^k)x#5JLC*y)4DxV;xB-BwFIwiArC>JJ8(#{yjQl{#dWf$^1^Uw?7kgQ zY2afARj32ge^97Kb%SIjUx!Fn14LxbYm6tM$-FU*G8-FE{|D~ao;a!hdCbXMnU`N& zQTg%hgXm$@wHFy8qjPXFqE09HvPU7?3cpm^d_)X1w;0h& z?gx!9ci3n#voq=l6VD7QNxL>VnBOpGsyH5dkFuMbM*4H}+nkSk80Y4A5 zMHz~s;vil_Jy-LafVtq#y%*x)omU1wYqq;&%3D_e+0}VP57%7#VjRJdtuK&T_DJ}6m1 zj>YI4r&@-dBq%47P+~YY-HVaMJsUusW9GrbZV-jO$R~Co!{GFsqOYQUQ-E zmM>QY90ejQ-jj74>IedfKN$1E^qLx#mmDFi_AUsVkWw&FZ5LK@2}`;j96KwlV%n6+ zvTZtFiff2aGXC>?UoD+Ig*VwS%1v2FiD{r&Hg;E4$c4q6@IZ5x+D1V?lCkL7Ck4tY z9sw$I+6L^qZ_T5%q8nSqfIFnGCisbX9s&!nIAafzP9zV=!2}9VO%|C=shjRN%un=J zo~w_qFu!b@cza3#r{MVcV)Q^&n0aGV@YElqlP8Uu!1zOR2KV%80+l`n=b%om8DF4Y zpnP|)<6!SjAO3uI7JRWv6Qn_;m55mYlnC(ZOLB&5lnecUD~?+hoB%q>1!=s;h1@K& zBQ=gDdv8>J?0q>KSA&NJK#Kle$`I$ffI^Um0m$yH8v5SSik{*|VIgK_rD{KHgq|u{uw%U~!`#y89<38)bI;FZ#`+T1_v~X@{%gS`BeHxIO!ID zo-lP)^6YW(87vppRS+Tm^*kc*8W$E!W@mr=Hklo&f?VurI^Lne;}4Abj#|MBh}?M! zLi76oo4eM?b{C5E$~PW9RmBg%NcJx>%;NJmG7ReloG|TZ3mU@jf~XlLbU70T;U;t# zXO<}p{ajynY5e8piblRSQhp(1zd|JX+X&qV4uA%x{;(~;j}!nJi!!!VAU!n&LRggE zZ@j5B8N^Jd_A$_@OFRW0lz@KId(|w>g>qpi?qG0%NG;>X;GiS%UaL9eL9NPYN_e||S3-Bz-ekuF>~1>)#)04%XaLB{~+ zQ3E|=c5X}#)Y@vGl6ZddA%(YdKR~Ujp$_ojMeI`$44Q8w^BwKB*M#>m%yJ#T7hlG= z6u5$SoG}MW5r=w<|F@O`9`X@9#H8@eCWJ{LH`xW)gB6JW=j!+E2UUGCkjhILRMi$U z#QP=QSfTr?Js3-q^qL`&96uRHsKu>l%vsZgN|ftn8#hnfsX=N96Nq= z@1ZkJuCx=|&jMJ&+H&;*mZAbEv{z16LrMD}R@t(qA2GUsj2_l}yms0x$`9-Yit;VO zc>bUx$W|ZNc)6d=zC5rHKv(Vp%ee!V_C3ysffU6iYKs~-kMAnGV0rs-fU7==lM-Gc zr5?eDp2m$nfaVAeXo5tq?6%ITi^NQFNN3>EnqNgLlsU(ayi*AKpyiT!5J2}I+FcOQ zlMKv%*}RcFSY`7*gS?vIo2n`sg^#Ty|C zzTL9_DC9ya0Jk1ID+lgw_KA+4eXGZq)dvRP9oLouX=!{TFo3lqk0%m{8zC`7q`m;7 zGG-Y-P4l{`X|$%#Z7;f$^Tc(vu7zE!+kL;meej%!(G*{k5r<~C0$BWGz+)Y>a;*^v za7DiJ{A{b_d!+b&l~8I$_A&n(943FP~%8j~H$o4d=4p*)4)*g$F$O9*;fC@1XmdPG5_I5#@g{-WTdTW`q0%(#inQ-8(z?rM} z>Vs2+^*l8Znuxxh8ucwucs&Z1>d2R78%4a!_n-^?J~p!~_OXAu<2C4`9*N+YM_%lT zBl7X_KLz^JS%i$q8Uzf#`28fOz>sOw{a(r*AyA@}0J&r!erCPhxjmBWn*qxBy?*E14^#m(<&t9c zknC#&3oU)pORP+Z6&9Zpv7Cz-Lz@S5_Ycj2_y>8lK#TE=#m7LbZrraM?` za;?2p6j7JQdJ^`yGi^7MY~ILe8#x6TfY*a-{t)tEfD^N1bB=5CL^}d=U31!Id>a7D zt$>w7uIS7d_Pd(@z891ffk$>T4=qZsuYnV2=AjM*4Mq1TwzT_wg_wrb7de{kLQ3vP z<7kTXmud$><%6L6a4XBk9h{@-DcI>AbmStuCVu)NTp<=zL2MY zwIbyJ%|_;SNdh>f)H>9X<-M4;2Dp^rTitU|H~BCi%wU+4daL&#MIbBP{njfDgd8ly zd3zSlli$D0+Mh6?Ss>UfquVcXDel6I^tKu_;a$U3+J*vC>Cs%ck>z~5;GVNDLuhLF z9iJjOaA{}K$&%_OxuMeQ(;ZLzb%;xoaEEREQtGsRb|*Abrw-8X^NF~W&UNbxiLfAf z`&~v-kTVjCUC2O}B_Qp6c*SZ^()Qbu>h9b+2JlQ9Ea~$07P(2vWlyqhMN>}iZGBJI zyalgApG?%&QzpsG(t1q3Dg$411EN`}^WJbD$W?GLHkH0Da-#yYItH^$4g6w2KQ!9m5?V-}g|M8a<7nnM%Y4%S4#I4=Y{?uyWSWmSUja{6W7eo!~5 zFejia8qQ2{ z-@4ePyOD($x0nG4CMdiUcQv&~ke0VLC_;R~wnZ2wA3IO{f&#oG!68|a+HdE(BB z$H3)pJtSsjec{~p;5+GF-~`D+(#p{uO7YBy^JpG*M}hZJBQsEVUoyV|weIdDDHr`Cg(2T77G;`2pz9z)0~^bjHCOfIpJ8VF{}UhOi~B8h>p zf`Gz`$SrU<7U6GZ54On^_w=V8da97OymhMXVTeb002tMD@J$TfPLbs|xAZ`HxdBMT z%6Dn5@anicLd^x`fY*(1bEmwpr$|&Xh_$(arsU60WE#AgMNnQ%4lEWV zX9y%Kzj~MPrEuT|?m_Sbsb+4=HLXZ0Vc>8|b@S#gRH`v`qBwRE_1v1i(7$+^Rn=h3 za;z&}V!F#}j3*}8s+%l4ijF-QZ&EqeCLFI8xtNm>Ys#V6)@{)|_hz6drQ(k#l%!Hs zzn~^L@RYDCAw7RSZ@r{h(zb)#c=ijo!nSn!t1B59?hW0&Wg*=QYi~blHdM|y%NCB+ zDE$~(<~G>C%%IciR`v(?$%)F(Ja>tu(&(v%7A?lM4&8dQ@j1xje4X>bopm;j;z8%^ zN@BRMajPcQWfTO-K12_?x3Zt&8CpRcT~Z^cksmH3C}3)yH~HqfY5Bi(UYNvqo*S1# zx#T=wN8|F<_5s@k9!=!5_@<gu9B<9wlqBo%`K5+*OTK_9TD*JN<3&thSTV||G2g{$V)0|`7_E>tlbN;HW zlvU(#LfsR$g%kAdes=lXOIoCpGvjcIre-ipO|tt;g*Z8fhL{MrQX#S5fn+plD7lxI z8n9^9RPMLffFx?{gw3bdbQ{0j?t3HLj(j}!J<+J6G=4foLM9d4u4U1v z^-0)rzF-HbmL4(-?`lXpI{L|v9_i}Vw5Jdz!4Sfvtn8vs|6rc)vho{8vSE5#4v-r1Vwg$(-4s`o8DAd6qYOe)t&a7OoW z4(@e`Zg=r@U}h0sSx99wX}PfxCEF$jvOU2kAZ0}n1t8Zr{npsigb@3OK-M{UvauT!#vyIN}_7EcI)-s=nQ1K-pLbJC*5W}2$nzCv($pN z#uO=WMs{>N8=n?PE;t(ISN)Os6s7U3>Z6{w!I!Lsnu<9ZC)AaG=ZH;yV2dvbL#CMW z^qlTyOCuT-S0NJeIolk`d7SmDOZa5(^}hpMxO#Atf&9*zk1dRq7Q@V-T^UmEBkKiE z+Ar>DSbZy!(41h@)~_&%!cU2h(rR0oJBIv5Q5MHknL@xN`D9G9}PxC73U zHWm60p{?S=nJprdnQwpe0^Hoqbg%@Ctd_y4!lU=b)T4E2LPfdlqP2VULkz8yUrLDJc!xp z0h$YPH`B7`Qw?fLpTBgOdfFCL&8{Q4_Dz?xo<(D{80_qB>0>7BD1O2S=w+9J4p z+GiA$#>;0EqOs3DeHobr1^6Aoo4Dr&uM*-W)%!Oy!nYnCemnRUd|qHo*`m?O!PKxl zN0E}x&@%tHz1`F5{M1g)8ML3PT9`Lsb-IW4S4;fYxBzD32ccw~_T$pZH=mgJhNUxg zQGR?hh4l2$F@^@==?HzMApFVPw6d_e2?HA}iCG+%re>sYGo@-23*tIW`@W>6NQTv%pQVT~ zf-p4n0-EZ!B*?MbAhFoO6`IiCg<<)h%gRBWi^S*fHJP%pi`TR;pI=kLOS{fCxW{U4 z03IZDrsPi}ox^VSlaj_*h9a^`WL*Y-Q)j&B1I9%>w{ub}OJU^k!w_0XB}AO3#v?eLtXY)LVwQmNts+W)+i6a^?ajc5;Tv zHO>>9^im18ojV4lsdB7@dn++h9|o>Dxt3{O3LoE$v@W;#lH{E?-icYw|KY-#HflLA zhPA*La(ofK9_Zn!aDuQ!BRfk8)dV`$+EDhG3N{S$urkznyX%Jaqem%sq@TYn0R$IE z@l1)6OJVrtlnOAHKQmG=kUo3ULCT1;@NVmTFr#|0&v{}=!Pe14Sqd&K?mAjp*3W5) zh?O#?(rWewUsCgPtYic(Xso<(mMBMzl1|qX!k&a>HlKv&Htf(-}uo=?^FuOQiKXoNGfEVO7@uS zJK3`gS;rVll6}iAYxeBB!3^`Z$As+bkY$W@hQSQRa7Lfc=RD5$JkAg2514s8?)$#( z>v}HNeZ7uxZvT*5$^TjwNv{C&$E6AETZ6zCtp`PdAl+lB&og;>8db;t0t3p&@n5m^ ze_#k43kod3$$7g|Zg00o*c{0PAZX-M4_a&xNwa}8!J9{d{Z^|iBT=>p%l)MmytmcP zA{OW4A3`g8T-~K+o|Jx$)0DO9f$8Z`b%pV%=&kp3^s#a-PWp$4&&xcx>pRv|O;riv z&j0F?FgF)=HN!GAn^cyCy$N`DRr3A zl?g+dxgObLDXf&F3WVoQU{I{doQfIgC!H<{fmR=2@Sa~BhY8P7;*h8Nb4HjuyTLpW zO0#$(%8)wB0dU%H&RiL=&!vDX8?=hAd0_97nThd4CB&W!3}7}`rkiXMI40z{+J(#W z!%e@PeH!5W1;?wue4$=cQ$F3I*5oUwf~7X8?x$n)l#g6?t!ZjT?8ZA)PAZ2>Nw7s<5=oXyWkAd9nKQwahmW7#=dWvFB zoU1+d9mm%DuK*4;U5kv(vBwDRljW2Mj#+`zp9`P=hxSNBjKuDE#3^(e8_6-3@8fuE zG{%xGz4>)SN@4+ z7U;f28ws$ydSAjKItFqP<=%5ZgZJ84oS8pZcN0qowJNxdO8B2JfE8r79G?62CXMnPpVk& za8XR{&m_s04_=p3EgaSZ(1~aI{Q#&;Y>~_^Aks8@KiB9@rQac4q{LP|)@{zw6nlh% zNpJ%+C`(FU@a8W6gEjm&O@jethG%y zf{MvNlE$73Ns$G|(cfgeAji&K?&ilOMietaZBN&FqgGhU=~v61gO+rek^tqe0h))$ zvIKsb6Mq@I2_-h#&C?2ugxf>_?qqPL7b)WG7|>Y+sP=_^k2Kv}>>8hOGM=T#F__Jv z&08{myDWk|=1wbM%%OgZd0ip_{;%UAJ3$rAI!=up=t$G7su_YyL#4;t;R=)4xest- zX8!EG$b*)w(}!myBqG88Fmka{bOjQbmyUJ|r2s--rOdGD1+T6SFMrE8*z1y$g-#moqt!*E*>19wLglxjH6Qm@|D&6>7?FjB|@6S02t}jP1BgH-!_ohzFsp+<=d# zHWSCCGmd8D=B{+2zv0dT39o;A0!A9P7U;#C=4Bs5VFpW-=3MWe8emiNV#BiE9Qf~0 z6Rh(g@@7iYuN6_33LPi#?p7pxZuh+4$!vT6=|IW;3cl2~C7UwZ)&*|esmFLZ*Q^yP zoIHOsup~XZKi8Fs^O_gyu}SuioxX1%w+k@*=S-oA`S%T*PVGxJ-Hobo)U&OBrVPgg znvxp_Ii2;W8!~V1Y+Vrv@Lz@{r4%1f-;TVQ_ zjEdk%g-?0=qi<;jbp7sIV4|TN3-{?HZAvASi_~AqhCJM_jG!|=XzyaN>`zHwetPLi z^GS=|e0rmXzA)0oaaaZ0pS#Q^WS$tet{^S(Mx^`9gdkad*Z}8JTgxM%I{`9ckDW5W z_2SF(1Q_ja#^Lj0#?fwrWKXP+-OTfY_f zj1&kt^=;8kX|BE5Z0F$9Tr}^K<%9dOe${0REemUvDryzS@|xSNrMxk@eV-QB)B+`C zBE`C3eQ6xL7T@HKs$<23^S0FhGEU}2@^_+#mEMldp3su{LNECy2HvuSY88KJwq<$0apM4gy}NhTABL~C~e;o9RzxG1^`=NDB$#OXFu%g}d8 za>VLbILZYv(JxApFfD3Ol=jJ-W)yAQ8*WIv?oAM-m8jp^gN;*_1S@cxWYLQ$D^*%9 z*LX6HRr>iGR!0P71#M5>8rRfCDhXAt|9a+pxsLbuaCb)qM}Ni5nO_sXm4yIXUpmm? zNMv}twfF2rVd8(bD!*!7fSg7|1$x=FTX2T&Wj1jERW4< z-j9Jcqe=6qtPSzSJ7fsB7d@jBx_ua|^-9p6Q}pzgy4K>$n|7 zD#dT0cJHf8E51kA;Jd<=&4&2mjD#u~*z(kKy)1@1p#K6+c7To0EZ;8soB49V92ZC4 zk|n`-?aKPS$tYRO~`gv-Q=1-6LCBt$7F}_EmMf~n|)7?ky zks`9asZzJWetcn=g!dSl%P-GefG~b-^LQ-`oE0urb1Lah4=JODFDOyl-}~oJ*);Z{ za68jQJtGiWGl(N#Cfa!eUm;GIlCz4f|EUI8og7z|ueix^|3Qk`WU|z%&vhMj<4LF3&tkY?#DIE@Br&yKVy!tr`vBQYF{kOq*7b! zSUq|2`W<(Ea@KUH|1@!rnPrUMk6%d;jpZs#C3}>Be>)9dQ!7kVxPelW1lervRe2hj zVZxSeGFrm?G=!5&`^S$56otpBb|7@!$R93k_@rVLAp$MQM7r*my@f(}O z=F!#2eo3bBDzoi~7vmwi4>NM7Noy%06W-*DP4yr z_}g=CS`b8;n>=rBz6jP8&9u@vKfA0D^Fzaj7dUr6k{yP7aw*uBW$_Th153nfmaeKAzA zJfM|hpqk8fa^le+`l7;7N}fcDN)@jsTm)aiTd0zu!~-6N#_Rg#4xL~1l@IbXq^RE$>hK^P7^CF? z2U%naMVr)OAB+jxDnJ%v$`;~bg3yT3kTlh9xfLo#0~`5k}7eK>?D*7 z$Sj4td~hMpNoKQoXtQS0c7K#39P{WVjvv;Xt4PnI_KTckjeM)gTFHI-rba0t#h}7( zBys>Cd|R(px)+mL-S$W=#zc4h_FGcH?b$_J!FAPllKZ3h8#YEcpsPI%!Im@r)0M`M zVo1EKUBNx+?Yr1#rjDx#D@R2D$r;WXyhd-JN`WwJzJJT}&k&D|C4S_3nmW6?I!pcI zPD1X$uYdQ|?yWE%>04rH=L%^g-d-AwdHJB)78i)IS2The!GEF!M7XF>$e#@TF=2QeQsHPEEvc ze>=O%6LYt@oaGanY!4*ql~U%@-c*|(zINeFiii&^M;}sJ=(%(sJ0i!?-fG}RP?VS| zA=k_?m?5fg35fjtkQbWDYpvR-H;i7vp6;!L6lr20h(jO2W?DSleR;>ZlbT6G^x1zN zAorT#is*aXF@`xS5@uFwHy9x3jTX`?Zg0#Frxwi_VeBM@PU76y{Mf|QBqwyeQ~r7M zun|fmG2@BuaQ*e>)*M5vx{H*1`?05FsMebWMBPb8B9Y{jCl-S4AOU4A^T?lECBxpU zM)v&{Si_ZxAzQwZQN{tPtImmaeFwHcW47Q`8H+GSw9h{uGZ0!|WL1gLClf5-AALQ3r#kih3r#6*@c<;jMI)&3P7v zSK8J30SV-e^h*BuSlM+Q*ib5n|6%Ef^CPURO8S*w#Zt`7s_^P$g~ViiVrHzyhsL;~ z!jAr1w|$#~OmoUP_|PK$Yya5snTs*~sohhvIKcZly;fU#67^&|sD;6GX6xyYf!1(w zX8~I(AEewf|MI!3m^q<`Iz(z#7G=_aYgfy7!LPzwCnXBGr@prQv4b@QvvD{#dEk&_ zHs=w~2R{3PcgXUCCl;gk0)PM5N_H1HVylpZL^%3|44rFl+xXhAF>gHIBC`Nv%{{dN z@5&A~iuggglYKo>Uk+VK^!WOc_G)|L3Tbl!>C;!<{0qSoYx=RP{JMN;=>8*zkX$9!umG2_Wb>1ScXsQm$L*X5tvfm)+LPmY{13fH+_A|Y*N6V`Rac0 z*K8hqB?9df$Cl}2$~To|XX*{A8JZF(s1~bXL|Mx3eO7xrqotXhoQ4nj0U$7rSPa>! z+z1jkg*L@iZgdgMqG0og+PZ8TY6TYhZw3DDe!+GkS|-W>XJRx{c4NoZvZ-R2OuToca z6WNnFMbvQKx-Be+K+Ftuo*uFq)$MjU0Ez9a5%y&JO49-Nxu*O$ZMDmHJsL(9MxSk# z$V=9C%@uJ_!DOj|PHrf*_C7cCV7Wl^@@|4b#SX4!KLpq9C;|*=?QWj;?8$gqaW6zz z{2)fV(Xt?ubZ5hB((^O~Gv|kOac-TGYwYojrHSz{-l|ektE=uLY}2 zl-%R2Px^>bQ&A~;_x>5<^{U^nKWs}>S}BhuJeCoFQf^9}dC#Y;H^u(9dh z$brxBF3>N{r>T*uD$x;1CRtK>NM-@WYx7`EveQlPJT+zmUs^7GGX;MSpcZNg%cg^h zovnXnE3>o`jZ@xyD@LwsaxF)uxre{eGYuuxrsIKqD{F@SN8w71H)Gfh>2bYR@Qpx! zf;K26QKr9V-X{o-uaKU-87uc<(RzHfZC_&QQMC&@qznf7d3En^EcJd<&=6L2TOAGz zI)eV(=qZ*jaa+F1@SyW=EIscxy8Y#87$)dRWYqZ!n0sFhg^(L;0I;!hqt?@QUYUq+ zzx~s-UTtXaVMsi@0Hbmg8EWp)3@^~s`c@fmc$0_g->cO;c!J);WCJeef%3ZPW?X0O zWBX|*3VJ1!vV9FU2S!eey)8l;pzf=)$2Ivs-BqiCdZ0K0f=z`Fgll6z$kDywH&O0t9DMI@|<%;?|`bA z5maoJG$g!atYUdc5UiYXzST>TFmwN={nNETK1Q-1RJHa7$Y1ck*;B%utfkl(Bm8j}DBNnDp-?ztsl z2`Lf`#-7pHH}}3@A59QamB^I-eh3X9*E;O8m&|(z@f|255r8j=|>XyBxTP zbey}C!Hm%Vk$v1Y=cLnm#%}j+8*|ptA8sPXF5wC{DwO3)*icZ>Ise^(VgF=Low1rQ zjBX~R`+DHpi;7d;lb(4~1@-;xH(XW>D{3qnw-llJ(=%~PNyAjW;hF9ty~F-nrppbI zgo2x>ST?j**{Qpgc@wHbXK7f4mB339UkNYBhhg|Ko;P0$WV-oNC|PZG+&&;!L{n-ZDsr~Z zu?%pvZ3-YY&Iw(W>$OvRT>DuXyU1a5U+V*Pj;CsAC00YmJy&4b{%QyDIbBCZLPX@? zv%C??xGI&$B?O0LRoEm*ajxR^vfXizGblT1&z684b}qBIQDF17?}tuN-16_>V< z%Y?|j#PfmmV5Q)D`Y#Civw=$dmOgN1_78u9;5xR(^fcYiJV5u=&vN5|mR0k{^Qnaa z{~%*$Z5Yj5T4>s%7tzvUEyi>=8=PMdREO)Ws&HkNBwxiTUX=E^<2`0A;9(eh+rX!cpUz#(y?Fp1^RBVEtrK9cBTWU>sYU3oTfxy+^NY`}D}7ab%#(^XW%xcx8%g z@&B14xCY(Esb%eV{7ZQb2DtO{+PVfqp5gLNg1-4lrm=0#RD4h2$*;Oz^r(dZ(a-BJ zi#%1Z#B}~JCH7=sZNQ~~dh+wM^`(wP9E31d5m4Y!P)tvs<#^+Ihy1s#_5=yXMz)4` zZ)ZdhBvbucnSMi^mvarZ|ASv@2||vLPD0JV9ZJYc$t%{ZD6wBpWJtsFW>cAm366@< z{z(gv+1)|e1YfT{$rL`b-tuAb^fH{k#ezd(s|-b-3ApF1#a?~fW0$>24|um&3su;F_^0`x13ZJGPhZCX~t&zNxky>9mfxkmY8o9_JS0nj7^LG zVvgom;{X|t1ZG>4g>JvAO`fPGwlf%uM3MtFnoOIw3LR$`XmQD5Oa1X0hmWha7jgUg z=HmA#!TAP7mQZf-h01?nF-;$yvhC2YGnI~cS)!PLo>{j-6rD))$aw-p{5dP z#+99J^)=&H=iPt+(V-FVSsPfRLW+qvL|Ca%VdY5c{sWQ5Z6;i9DWFkF4p{9wX0xJ> z>(kRp^$w1VO=7OzgF8NU)Hh-c{`tRN01D!p&Q$SDy}y@tK8L)u=L<@!m0aux0;HcN7_MtmL}Ojru!F;x;`1q?N`#WPz4)CW%-vJ)VIcQf{to& zv-;hI4(w%XeffXyD-&@$`_y-^yn6Pt*BGicPfBh^AFG_JvM+cID*fLZ+uW));r-Ch zg^WgL(Q=J>S*)@PTv<`wr{?$W^e(+EFd4L<`AHgONW=K)rSjdRvjg@S%BSXK;d;F+ z%%gw-dw@sJof7Nlmw9m}F^9Te( zwf5=;$gQ>RM|Nl8=8i_VnOu>k%wvkad&h0i0KlOt*Iw)?ajSs@nV1-%Gv+PaV#7&; zmkha}+0IlgrsP;V*1#<38;<;S!V`rqj(6JW`+HPBIQ#_K{bd znfdM-a>6U%PTC&FG0_AL1voHf7fUPiirhnqWWhA_dzPH;D&aC)yRYxcm zjf=A)eZ*$Fz8>~e;Ctus*;)H-UIQBiRdc>L=!Kw=IQHlM<@r=_+cHBz)5`(QrQ#2nom3$XW*-@Z!B7 zO=RY|;)+3L7TqDuT3*N)3O-i^=Ysb7Su~5-6}RvIMQOpb_m`L5_RASyoY^>t{+=@D z-R+0}DZ!u|dgb$-g!A8iE;3jdoR%3Uzw^(vd9%AXXbAL~tTy19Tf@pP|Geq3<1(;% zazK`dADHvTYCDfl8PD%vmFj1R>5Ur|2wq6(>7BvTwSJxj@0u7yrh)&@R%tlhAX!tZ z9QO#h)Ha{*yf`QA0+vFrXj3j}tVfi+pNkrr>_ihVC6bT-}PEkelwE8Ek|>4gr^S$K}L!%m?!l{jTY_m1>!)5g#~^X?$* zo9<)S%0Vuf49D}@Y~a(+f*|@{GA#4b)wAoq`sH6J@hdVxCP5j;VWnMvb2Bx)M(tM=)&N%Y%*hfZtr)Z(hnn}i%KTIPH zqn={T4T>t_n_CPr^Q^)2VjCZ8wJ7sOEw|28E_YGpK(QbW+KLtSdFYzzze$ID4lzOj@2%|{>+_hbPA*!zJ6Sah`OKo*_TqEl7O{4 zozB1=?EtOU7L^U7J+ruZ9wD6;mqc1e@Mj@by`mGm^ z#n!LwYl6o~<_S`|#|0vTFSL}BT_udq-3sQUjP%JX#7Ke!3`7( zNONvTmBXdguZFZFU&Reslys&_%26d!4AmwBl5WX6_DK0ya5_kAr^-CFU7$2UT`m}Y zu)g!H;s#|-v9wVd-zZsJGx8y!r7GBR+F$C;qaLQUORCNOT0_A`1uh~4G14&JOgX|^ zDRGkQ5oDXNa^T``p59)uA$U?ECg((x42GmK_6s&#m10G8tx?JD$7b^v5WnSKBKJ(B z^Q_^`4y%pFF6gyPK2!f4h(>!APzutpSRTINwP*z09-(SFrf%=@K^gNcdV>T%{BX3Oa-vFb4f`ZpKCA zyIjSHh#XEKPudFKzu*G3RydOC0jIOidV7pYCFTy7e~griMjO@NfCm_gpCe7WJ_Gl& zu<==Ora{C>yHKTh4)&V3g%+wF9p%ln1_yaf&ulf|E%K7zOwZST^jmY@qZ*V&YbQorB?tzF)s?}_6kF52L|Uab1lgS!8x zEl6s8jO% zX>)CWN160V7)42@+b#`LiVN9^g~$4DS|uDCJ!Fr&=$?JXOPn`{ikg%3jA59{?{{D^ z>~O4dqBcuKtVSvZ{Gvgad4@RS0t3ZUAbgNDb10eTIzz@fw7+Fq|7g!T0S@O5faE*< zmyMKtt4Z#v5Zw5c)G)tPj*V{by_c5arCRHW5UiF#I4Ue+=#c*6JAJv1X^6H+=WPeMzo^6LLX zaGpS8b7-kg%#5ZqcF^&o0PO5;GnK{(II^Ls9*2k2{)#-ltbwSA3k5Xab(i&dpY^TC z?eqY!wV;7ua2=$HQN?Wx*~a-lx|a-mLteIGXxi5^bLtd?HsQ-~^pY#jNmGM<7GGN0 z??I|pf@Sz4+D0uA^8K(Hl9BT8LP%S=Q*|cRr7YCsJ zf3hoZcww6MR&f8%ZOMyw-+jDbRTh9{`yR^~y!dv+MO=5}ovQwWzb+C6OO*I>U+Zx6 zXZpiyQ$O6O%@EJ{IqGg2^Kjn4)=V>2H+41$?~`)qIlX6KL-QY8D2K7V^D<^Sq%!t! z%>S>bbd#+;c@zon;c5jyOmge!xgNt79dLE7`WiKf(l=0*jcam6vYAKu&2xt{GY#3h z@zA{mDB6ZCYvaK&F{o4$BYZNlfpb{@oqOcb61Ca!#D4xmaMDE0<=_t7u6f3Qx&`?S z-blLANLuRRL9JSMv|H0qS9Sb&Xsy6!Mf(kZHtM(h`;4*hE=0esApBsn5nNVY+ zC0<35Uj)Mq&17(jsXCbx=AOmU-grD5Hh-elFf^(fus)K|wB6#tCh=Ssnfotup-~+JdxAx!Lh+V{Zu!L}Y{=7>*b{NL&9M2y7lySgTqZP)6t{ zGNJ(AeO4YS!I$XgU6yI8&EGF{p|QfgEeK!kW_-mB9yPG<=?;U-0~?7tX%~7qpGDdI z%>2o%xt-k&^qE$_=1~m1iZ3Ql0;t1E`4>WYhc^;Sf&Do72+(LrOX?YX{~`aRIp$SJ zJ@9EEo00WHiGDi(_KdTj6Ji0&Sw7Usuy{T_D2*@GVRq-wg6!~WXRMIkGOWeqS3~hH zBH;96zicOjSI?o`2W3RvLX-MXg6sLUBALtQf?82jWar3~SCufYmlb&K;?djhm${VO zXynES)yeRyu(>Ecs`R2~IDUP~Ks;ho@k*oAhvGV_$D1JdeLdl%H4cqu5Yc$Hg`K^Q z^leusPYuRCN*n*L1<%U1U&+=`Kox*mI|_Sk8;6zTjx=F^V5~rT4u{8FPk`lT@*e?~ zzG(r9c_hY!+K2o)GoARiiVT%>g@Y(2W8ds%B^hrSoT)Q=>%9)?!N4LG6id=x7!8NZ;*_A%5*3 zT28-isiJrQ$T7xc8*>4t<|;7HColEO``l0GPyOd*Pkz#PWp21Ah17Kpqu|d(8f}?k zPpB5WgAB{1jS1OHWIT-t&*p( z=GPDK7lL(>i}AY&@QWk)4+}H;{J@c%vnw;3v%gn=Q=T=4cAxT>L&pK9T_Od=KJiZh zaF2``yA*u1Z|g*$5?mO@4O%TIK1klE^pFPCpZt79bNWk9dUJvJ;{BqZWEdt-B@2AC z(<>A5+TGywg`?KcJ1KWw|31> zO|g7Bd<ev>`)%!y=Gw2(kn%g~*_ychln_h-!s`-4mmjWYqq13j!(H7%*e zO7V%N;cR#RqIm~k2nnTvW8bjS@%^F9K$EmUpe1teto>$@z_?NhQLA*## zC`-d6pr*uq7DJWXu>4uwuDHQuz>JNbAy>+zOc92^Rz~N04UOYp0%4OmY1W^xS*q9Z=K{!d!_}tsBK7|3{)4~N|>cS=6u6O zL_~(aq=XZr5jA9@e1y}07SSLp2oLJn1iSyJ|2mfw8gT4_su5wU+mxmAJ2kHzw5=58bZdJLgvY8cCKUIAo^DHKVIo*>e884k=#fQG(jTLcr1;--CWG1uUiUWDG zPO->SSM9f=N$CrM&m=SZw8Mx6$z%-_yg$W!Z-ZySlhw@E9^A$P^O{xPcZ_R7$}qh) zB?-=3f@3B^BQ-`oos6U~k~1KyNHT7IR!7_KK@;8&d{a4z5yfBdbO#=*bVt!MW$y9G9h63JBYBQWF29%@PX{^3Khpys0cP1xQ-2Sn1? zT8NW`cm(d2UVdSbdt8pGno3m4xbK`;B z{&0iN^Y3Z_Zpm<}w#vkTrvy+0t1DRzEx#>)EZsCW?M+e?!WWgV8+QFc_xtvc)$e4a zU7GY)6pF2VOXf=!aX{<;fYS=OaM%enjX(YU7iHFu7J~|nh9fYK;0x<&(Qk0}tb9)n zk#nz$0{o5`-i#_j<(Q&9L00hmulNK%A2-tNNRt;Eo94hfEgpQ9-hOs)sdl^#t$@^k z2)=Pj7IcHL-i|VXJeHi|$sQ(p0Z4};2GZ`0Ho~2V3Z29R{Y~Z$*0q8ye%1*SSIrvy z;je^PKC&ZsgYs+h3}+wM?_9O!>*8psrU&(=5!FDp2GI}V_R{_~6#q|K%{3Y-x<|5e zm!=2cGS)+MLni!(e0QktExx{S47MlhY_%X>gBxa5g;FM4?0##S>i%Ga;0?wpQz-^! zd5P(>WJUk9Z>SFmM>Zvw2B5pEStVaZ7umVRyemLVfU(R!ii0V)TC?zRkLFJ z`SLxrO>Cum-ph*6nbVVn8=to>y#@rE)tOUKfK`^zqw`VixdYcIpU+W;M}2kkc8>9| z{v$ZstdY5LKb~Ao6}py$Mwl<&Jr{WG;@@)^tfDt!-U>CSV05j~UmkKV#5|3_(1553 zrt1)s%X-$IQGMlFl_?ts%YGN%6Q{9){l^T(F??xi=TeFeB!oAz9H!y{wL;Y<{2dxF z8Sw9qJcDjmmbhz=>o9aeD0k!gq>6fMMO`*iI|d`XVAS5+>6WQs++Ty3z_dV$Y<3RJ zf14Ez`be9!Bj31%FA$WytH$*qjoi+LmMsS&H|xHk)H(?!Pezns7w3GJbioTvMSO7= zZPWHkL3qaD-mZm1JFcc$#7OD+qcLX~!rTAJ<@e0=-7-(FUe@{YGK))zsn_#@E;t_h zD4yJ8tzCb=G|l4mxeEn6PyQu7vxH=fO)^v;hmLy&*qPt%A_T&Asx}sn-8AXW_Gps2 zM-`FL5l4$RZ@K8&?mT9I{x1JeQMG1gd=iV{6Cw+K@#SD@<%VtI;~aUDOUu3xf`v}= z=m)P7&CE8K=2Pl?1897AC+(UqsD36-C-Z$3^xSp&5L$ZL+(S0^1Z97~V?P2275lQ?zt%BF+`JLZ%>i8s`Z*&8aU7QW44Dkf*09zw4KA}=7 z*tjo^y6AC#E&nH1sEb}fJbiTenn0j1$OOCR?ZngzY$#L5wuUYH`#O~ny$g%EE>P8q z(El7f{-gS+Y=}Wt=F-12RDW=a?H}NoCiXMv-*YpbkUx3RxXagOmNLClLd(4#rOn$W zt;S3_3Rliq--^)TWpFQ`_A$J%+sYZ^4>q6wm%v%?l@@nY;CD}yVu|9IxcYaQ8)k@F z_U8x((Cm@J1Junl&kD9|o@CPJN1ntl{}Lkm7akxEe6?UW7O9m)R}FAis0w)Bgs}9C z>d|bb&5D-Sjd0O6ae}44FUjqfqGP0g1<}Z#%lr7gFh20*``=ggrk9jSEWHT`{QahE zWpQ0vKb2>4mtTG_`_BSnYXxqgYF*2|P-XTMkmft~v&EZTw4RXlM!i4*21-??17C_< zwr1&Pc}=;Q46ZKwxEeiNMqF@lFn@j%#Zp6=yTkyj8eC3#9P7RX6H_B>j&rU7jgpI+ zv?K;YybkW`>GQ;T?Hhs%1pUScZ$zIIeKE+o`5|pB>tB$BIkj)X7eqpysw3{d;#zSJ za6d0WxhC&i(_8d0;ADh*b}3fo&)m^2w-URt_f7#Ck}^9Pr{7eWPrb;%DE2;$_n#!l zeR5)Z+!q*css9@q7SXSu2-j%ivTLf$THdFz(iS7?Xmg)M>5KoZ_YLF!$9ft6_6|$l z@a~w9tw(@<~D0RtM>IG574b!h?zwJrJeSnKlB>Y?c}9> z=}YlbmpCt@e9^4|k3sxaiSk0Rui~WKcs_QF0X(fpC#_I&rAxh&_UogNbkGt}@*os< zGyA}Lg0i6xHw9;0RaHM*hN`TH2Q~C=DST(+QZnOB;Xj;FSne-$xM3G8ch*%=byII8 zaCxK{{+N<;`E6ZqU9e5?YaN5yUWZc&dv`1=su{RVdN@5~8{2NUf$R7t474~DNj9(Z zEycJCBWH87$}AysTfrR0M@VDv!;m#cVz*0}sa54fRhcNKjp5))whbia_!r^ILvQg% z1Pk)J^oXlBi`C^p;wQa?ykwRY%^acHFpLqP)WB?rkeggodwd(!Y^>={io(nYXWKOm zUFxDE9tsI}6JC}B_7$2zFnQku8NJ(7JpXt+K8NcpOS)dUo7{s@0r~OVoiPBr3c}nk z9_A)N^2W7;RZr~`%+$6C;5dn!sPtgb2~!Mrz3*3y{8O#9m8s{iz0;oFhG(?Cu!Q*N zB??^*M7B`$!CQlm-IiS7y|Sm9BRCNkN-u>xkB9dbrS|ndM>#p?C?vZ%x-@=k(aR6< zE;irl<~T2w6#bGxoZJ`k>4ci#y7(DKmR3zUD#U*#)n754>@0M!C<=+8?l%iRd3C!6BpPFL6qJNSUj?Aob2w z!L&`IlW=RRVZ&t_FK9)rECr@aiGLeSMFPXTOj|jN>R;V`;uN$>9H7ocYqBGXLU+KZ zqoz`fZKyKem(vE~JH6^-btsN5nXgmr>tK8{L_C@?tMF~|iS46EgRCoT!Do)nveNf| z6&Lmt7m_}GkrA5Cj6yM(>?RL8KV{9S)LoUA9?Yt#s8w|5AIy-XR;}z;s?{qnYV|w`Z zyfe4&o+XAXrZDS?{km8kxvGoZv_#|aS6=tyLqV%qu2YqA?Am$6p2<+`MC;E-$%50v zCCT+El`Z<=yZb7kzBaIRJZJD{07CD3y4}d-1^Yhh%-B)P4U~3X?%%)my}~>xAXFOm z%{D=FUZujzQI{$?H|#1UvpBfVoKGi|gJkTyL<@(*m;K9}O1wljWUf+O^Y5V-?@tX9 zD{kqwY-Fu?<2D zUfD6VZ14#S)&F~6o4(it`|B{@=1ffZQH~T@p2`inV8}4A#u!A;DX{jWeI>c&Zuubd z?U!?>4r*IRqsl@ljVFmVBFk#L`X9jfrlstp)G`_-JA)JNE&1?ctItOiHHB-FU!)Wo3ns5V`Ki?I4BsX>}6WvS6CfL4cNTZ&QgSN+-%28p{-S)?MbrD zRG)>G^@FJ&%`3_eOAQ{+jE90#u+1`)8ws2CregVZ4H!YkO89@HcaZhAC1mh7b>cJi zsBo95`}sx)@9Y5axgYo_3^OzH0$5*m^IN|-b$$d_^Ue!Gz{{TkgU$EME}J=(uv)UO zUOfAq%H=mz*>+W`d{I7{o&nG;*@x>h38B?8;WiwC!K4#mE4*iRJ&?%}=qPH_GYN){ zwx-+-axDE{F93gSdjLv$y2$G+fsQfoMN-Wu&-X9W1DFcoDuR<85)+Sp2VO)A&pWxh zKO;Mv{5IgJHZl7{!08V!Tt*w78b#7OfHsZECwyed(eF;|)d;pNufBRWDvmcTQu|NG z(_Zq+i|`5I*C=uW zwM57lKg-5LXZx8*`_|ho;$(zg7L+R*W}*pK@BZ1ZY07b7i`v>yQTuVy{%mvKN$tGQ z3gZtp7AaT8@kgqU)jT#nx_D$e9g2SfL*da1pNQg41hyXtd_cwGpd z(;rW4p0GuC5=4nD2nh27v)m@!mUB{ag9wFlHRACTg`jr(%{H^TnZgZqWJLxQx=gHT zEl8d%sfCZRtzrX2TkOYp<=V{mVV|TP)?WFn+R>w&AcQJ@qNdZSKu`z@Cvgy`xnEu< z&%YI#puX2HmC~8x-i5Fc$A*FTXsGQ?ml9uIBpbFD zU3|v}xsIwu=nl&+WY^2I)v%52pXTFuvzv*39uR9!$6u zX=G}}7@mB$QOF;jOGC6Kg$N0@#|>9uys|K@*CF93|C0(E3f0rGQ3&wqu5nr)5k_L^ zCMcWB|EbRE&7+i_Fbw)x{-v{%kiGXw^A@L|CB!4&R5#3Ej-|C#ZW9l5n79(YOt`Pl zbvbU9Ays|MOM8%X)&h1UfA=%xxN!fNu70l40>DCe;usHZ>~zjvE;xoWC#}wbAUR}S z5gUl0V113%u5;zkyP61${kOVyB82=D!DGhtVjmni0RV*heZT&)fLcs}Q|=Ms->XvT z49$jWkn<)Ssm)M?To)rs+8)LNx1HBLd$6`)UA%qmEJw`U40}JZ-^S`-TmP#dwQBRX!3JqQ^>*>0I zf?jJ>8r#6}W*Y39nM9}EHlQvuS$&MF{BcR}*$MCkKHjnez3r7Yn5$Q1lILFkuGTF7 z8|t&_8f-u!9oLuO1c{h0-(Ly{!2Yw$ThwI|e&UID(X)Cz39syU1tfO;ce-@C&AG=k zgidRcOv@YSdA~Z!S)U&KPTarqyyv8XybY(Ky)v)b$LPMZ^EXVniuqgp<-e=JA7^U} z_uaj5%O%KuSvM<18E2SfC8F1G?2bwoJ6}fAQlpKpE~A0vU%tqQ;(3{W_3yR1%Qz%( zr{)CW!ipY|a55|!@R(?9FaNM)=Y}HW4|QkZPT=KTsf94S*_GA_^e|ITVmEc9;em!l z3fNI|BAJ*ObuQaO==0N)2eUT^xC?_9Az}F zm^Rt>b+{z~HhRS3)AGDy$CLb8#yZtrV_AKV4J9((&Hq?L0@~PyMURu}r*G-hM?J|j zV(!Qja+KHMh#oZ7yWGD`4^1L1c#Aho&>4-JJiJrfu9IbRIBmp98aqONsI3{38nKd3 zrZltKL5KnmWrhlqS+cY^(x$_70b-ZXvBgdHDRozxRm%qywy++_^#k>R6_RmE!&}LN zwI%`J*meK58bo?p0qrK8s8eFIDYjJuG+yBd5k{p+;Q5Lm-WB7guEb~k)7QvN^5 zg^DUpb*#*8Ei>1*Sr3j*S<*`=*)%>>Kt}yC#92g43D&6i#*?Z@uLmxeG_3d6)AG)# zepgRm9-zd&dKKOVoS6G>JQz^pq5mTGd4})psb5QuE+=*Xn}9+U!nujSFe=@2lAC&V zHG959V+vCPvf&Z*6r}cnOI(kvdTRrsz8NZ03nrXhH^RN&1*R!LetVmDR0jKUy`^uL zwO3Z{%JPzfi-*Fji2>kieI|RLJ7E|gW2ctFg2daJK^E6)V`X^eDXWqxe5N; zG_*$Jvi}!*e;y6x`^S&tlCo5GNoA=B8A~Z;pAuyk*|(4_p|USyDN=-NLw2%d8~Z+p zluRM}uEk^-OIb#Y;dk9cdcD8Db3VWGJKuA@=Y0F`cF%o3ujlpLuE+CvJ+E6x(%s9- zSH2gP76mtSzd4MNwzZuUs@Fp5+olme){ee@P+WZmt9$DH{byLMV*wi_bJ!Or59c-f ze3Okbf=Ry_(6mwu7FW7Ba4f-fQ9cDO2&yYpx*bQu3Nt+}N0~ms(tmI23;G^BfKs zpIx671%;poO=<_Bl(?0w3Y=K5oJy2R5?g{9e>hVip2eMKSvh<1VB?d_C0JWETCTXt z58-M#bHEn1N*F-&x94|Ro_#Z~maLs1RxpKr8eZ1u+@=T~bwAc%lWzoHBI;0oPDM?MDivgAAk+1o*m z8f`aF3u?Q8&&DfjAF7|SXCx|rL9K-$&WxX*$qPS82Zx1{h2Pmc9ejGzdu!ba4US3D zi>%ihWVnir+G`(O0yNU_7dBTxyG1 z@{fzXsOvFMVCz_K`V6uDZQ#-cJ6oa0C#taB{HS9rj;8bJdb-2dR}5ha!+}`StP3!~ zdt7ts^NIJ9!R>`+In5SD7-}Q#Dn4PlRZHG^ z!lslocv@99JKQjh^Vl7BOrTQNht^M{3RGdQx`K5^f}97Fk1RQ*L(U9S^e@_U=2HOl zU{J*+H!VmTd)(Zjmi0MlSf*~hiuWB$zL06&5842Fy;4(i&fKx&rYmTXP?ek$2e6v1 z6Yx&r+e&fuJXJt0xe;tRAuPGN%=~G!Nr!g>ed8L!!)#{hwUaq$%R7_rp}~kB$Ry4* zeR)lIG+jES)$z8Yj8UGQO*mI8iLkBA8t+79kJx14#jCi2#QceZvYCTD~=SgoET9#Y+Q~sbc>R8gq z+gw3LOp{SO`qG)7`nX$rG4!(tD~CL8Z|x8frirhC1k<~d-njvT|c$>L!C)%BIOZ{r4AB~N^2yA(iu#N z%gwh2<7RpHiC(&OYRiK7&8A*Bp#dc zjRxK|m?XBy5wiX~`@4}%vL+QOvg3rJ_bB3*lDJ2K_DtF2YIAQCRFUlvU}}LXMa|J5 zmk=1G?$pzzG_Ylj9?r>1T2e7EXJsJH`jD8qk+-{&K{1Vu4D@oz^o**(v@7QAyAS%x z$%Ii%H&$FPiK;*5{w0GBqlvnr%XhUjT%@b?qDtqw=TJJ-bBJeLNX46xK2ro^Z(5G&REaS2drx1eS4@^YLq}WIsnUnZpoUjd z*v#0cZ-4^R{rMPbw54gUHoJg^QmGw4HVR)5FX-2u0z8Na>GjGV>#T^wGN7VT(u17+ zW*1psZNVBkXTVeK(}5 z&`WQxy;&*u1uJvR$Ot~zrP-I`Jm`oR!?i$lW@~`gpujz*$nnrH+CIQKFPDrlg)Xcn zx0tzb^a+;EM4bup+?Xv5qV5$dvh{hCP2`BUmFSK)y_p-tX*`;@Zkp$vX7RtJO;8x9 z59e5kF{cbupvNOihIrCkdE}<3ud=sRfzO3UOk}1NJe~iAe+8179QVdK=dz3R2ckG* zZ8VCzS-+C^EsN16@7-JFV9_{GOpoXK!T0`@{&797rwg&ntVU|#1p>aqnSD#$L0GEG zm9|0Dj{{DPDd$(e*5|T4PB{CH7IVxkC}0M2z~}@qYK)it@rhnbGnmbSdWt%iP{6US z;I}DO6zi={prqrDQUz^OeK>;?eNIBM@^P=Lq-%BO&ARGn=F{w#-ua58I$iWgmwiAJ zuH1b%ClCe6XfX^*t^)X-h=I$rTDM~@T=ul=)&1nm>3n8V?L}%6kN=m-B_ol?ejOI_ zZBrx}s!LaF#l=OOJ&MH5nRys%)N|_CMT+KR+E%~`kNaWC{B!Q<%CKHzkFggm&YhPv z1+97qlZyLX1j!uQ1y1_pBeKhj9rs00pAO(HS-Kq*s2$_pZD%N~JgPMU@(IQ2n>^&u z(FbEF)AAHovH_0v3RFF#-B%|h$T)O?yJ7 zZd=A{y}&orclTz%EM0HH)X_}l?$SLu;_sc@Z@lV$Yos$if|oNHh;ke+mv*GBmg+VZ zL@KUP%VY--J2GCT()_A!VX9r5mlJx}#>o4*W`J-6q?=EjLOqMqJie5s%xF~KOSP>^ z8WNtvb!KGMB}sV-fJQ5i1e@Xk`-U?`4{Q7x)fLFeu7^>8o;ym;Zh%#2pD1yJ6Fna~ z*+lF0-mab`$&fmL;(O6Lf;)P+pIw29Tq?l4|Gd=jEkP}+DHa64S)4$6LZcOB?!X(r7lY4tmS zh0UV-Qfv8fHoM$%Y4f%-+0Vag$%m0T>XfgG9qWIt$1e7n)`&07l2KD3WvDSNC&IB0 zE?@SdVGR?~TRdft8py-#w~wQ%&o{G$xaTJz}qq=xg+h$?2 zO1;Weugw12N}v2R(8gIz28~{iU5Yc^jLgSa^OesfjeRumMtQ!ha%6XPZL1MkTQi(E zi_;hco%1I1Q**2N?4cr&Nv{w`Kwzx8%Orvxv9Wx>m(--Fw=91qu-*X4 zG>eeMNUdHurRsDDG)|8MIpxz%Q)SN5WM#1rl=PgSVLjdcCHh|8c|A+dp2s9{*)Te2 zW=)*5@4b4MxXN|dsO5OWG(=)*@|?Irsr^JC~DJrpr3tlf30pIU0t4Ur)e zA$FVRY>$V1&abx7$RD31ccu-~ca_;EC-(@_gU#`V*= ztB}u)y@ClY$n_G^O3lnl$KMqp(a*1yP3OwVBP0ikX5FIU!=T@p*fDynir(tmX=2yA zWl#p!X7$v(jQc_fghF?t@lbQ~D=X(u6%z8+Ry^F*=E#imraE)9E?!ItTP>#Gh9GD50g& zkUf3REdx{5bK;F9KW>V{P`UF=pt_cL*&x1wQtK)g>zjyo)eq-dDZv%M$R9UAy)}HZu`B;HqJDJ*mST+3WB#5nG7U zr~@Z#^)#0EeY5iaB>B@*{i z&=H(=3vx1Q(?2#aaH%IDdmvf+diUxh61~na@2sF6`qBgp6roukRDQ1G~Fw z1TZ;i3Q)j%BxVb?^=PeBNviWDPbq+heo}xfM>Bb4X!5C>Q`CJ|^X{R97Gq1bq24If zFTxw+^4@v+pS(dj0yM|n@>kn~=Mz5}dMV7`jJ7PPIWrX@VS+_}iI`ojE;P&>^kkQT zX*0~TtvcrMyJ2u_jn48$Z7I!C)#&J7QyK%}7#SKnNu2Ct-_omD@OcAb>~)8V%SIR0 zLw=rog02}_yL^~%ysu*a>slxJ=Q%g=W^SK^JQ`meq( z&NK_etE6|c!AIUf7-NipUnNw=>`l`KlXWERbNUpfegd*d9-!RRTWRzFb#Q^BOp(bv z`ym8kPf8cua02IXWJEQEaiiTrf=U*xnD^|>XCXg#y+Q+%YWwo(##+Vm#$83TSC$`E z)<#eCO|*`U_Kg?!tlq034)He~Z?@4oIp;SJLrm~8W^1$p84x^Z)q zH6M)>pDcrO`d%@b6~=k$ZUKtF>^boSXD&a&y@izeJP&H^943Wt-F#=Y5?6}56GeNy z+*Pd*jMcTdrYo0lkFGx88a8=VEve5XE1*WUH{B};8!O`v7Im9a!|M+yiS%oTJC2!z zvv@39DXC?Do9$7OrSf>{>|NFjP_Xs@#x%on<0O@Dj*W-eK%9jtjH=^-)JV?cu_;*D#zJ{v8i;ttq^BT$mPC6YeH2C`MB@&&Pe^qAgbv9n5 zY>lYbIM?5{0#l@*?u^IFjZ7H%MP|+)!F=?aRGzMGk8|7WvC)~_pkrF;T4UAkK|gmJ zApauH3tsnsBrXOF;P%>>HwulcUcZt})(v3$EW4+!;KbW}L9ky~OCLi#LGkgOzw-W5 zH?(i;TN}db!=kzI2QC{Ax~-yY%ms6f#ZkXl%b69H-J^D%BwZ0^-{o`dgHwLNY+X4_ z)h*qb-0aGntE@>({^ZoRpc`a;H_b0Y(FCAA5KYdKUxz~D1mskw(yu_aasxyb+1J;( zW+)Hp(}@zV^F%waq`G-n?A0YL^yJ8lx(tl&5u79S#--a5R|*YWIS<-d=_Zay$=`~o z;P(BPIWyhF;v_Nn6ic-ik%V2bX_K^<=4w@BT$XpSmA2JIXsAmA2Vp1Gvke%jh#vHK z+G*mt6x!QzeXQ4p>uFiGAY+f&Q*5^MK@Ak`P}Qhj5}N(ljfQWb{2p`8{=7+zMSdnp zb_L9Q-6L6vg-EA@&qM3I$ z*YBzDoGRB5_+%7ttwtx8f~1PwNV+ktktWbD`OyLPJc6B`2da_FWMFvYxIO`H@{6g~ z*ra#qZbgq-{(?r{EbATH?BE1`zH?X6&rvuM$C#^MG9nuu9d~N{Y%94(L{sO1@9LVw zAi~?lGxj8b{o08=c_PS?T#s6u2AbOud=ej<=MiDRmL6;W>g>X|@FQo;!mfp|VP@u14DjGZnTW9%M+c|Hr=eQ)QZT+@x z$`AA0$$P%4*=9_brLUQ_p}NN0rj@I_0_!R+OOs5PSa1KYy~neRxPouk$h;Z_KXLf# zUMW_M753MQuHd&{c>zON^^XdpgvRO7#65>(X>=K4>uqeWNfix5v9_;b@prs4+0+obwx@F_L7x-U}xG6~PDs&U}5 z=CLRJV_MfIwM7K-B6IAXrcrUD#D@_RKjkF2M)-2eS9^HgH|ixe%`e(J&Xs!0 zd7kHuqDGBH(qDAQ06wLfJ7WKdIF@KX+?Ib| zK!>lwpBVYhGp59d2@CH%=qDd={d76(^>C&R&S2@ImF|rrhwof?GT@>;pek$M{^g*$ z0$55j$Yjq#f)WLr7vMyaLo8^qS(OE`(0I(688S*6V3fJf<0+q&<7-^p`W#16Mcd<} zvbTEyOx;=;=HkE+X$IHW&HcQPO*lJ1)%3t$KRTJ0&Vv;rtdFe7D-gJ-FNMzcL}W@|8HuIqNg-$lYRu3ggcippdE}nZW{_ zb`*rvRmr_2G*Gs7cF(k!mRu{@V;c|ikFg&W&tHSJxqU@Afc+&>)naJr9-!OYU(yE& zu&cLgb^Z^q&I1@k2E}X9|D$-_Pw}k$Yj6#wQ4ciXpF$ zx~Bgnn*Jj=a9WwWw_0!ABJWgSd-grVBknhE=^JI`iZwfZUnfKrxipXfdliPSmq6}Dulu4@X3dYOpUmmkZ*o+&pIZKyW zv4N=8bTRwFX%oF(zNxC~>DTyCw?r9BJ-Cpnb~6U1x(};Vr`m2KrS%A(?Ff|?hTc>X zA0wO(71rGBl(huXIJ2n=mWyxZ&+xOo1smUsks#zqHYs8uMTvp!C9l~E8VL|-B7*=g zmEVI#01EFu;bW+sP$|%NJs?lo8jWepe@FjOrqEzX(Ql-6z7r{J?REeI{?Ds=jU#h)G;yo8KM>is-3|ERU@+PZdusB$;!oB5kDJev~m-om$% zrf%yR*Mn$%>V4>MX*j{TktRS^=}`NgfaHPwz+%!)`4~orFr-7)GX~@%VE?s*nf8c1 zsu=X`{-|OCJgxEOxSqhwb$@KNz2J}PxbQQThi{;9@b|3f) zO3?8O#3C$mPjZyU(289l(yMR&VJRsZobk{ZrpIoWtl0CPHdP>~#5P7CvDQXaemX`~ zyf4AdFkF+OpkF)cg+)BL?guqNy)u@Ub({BZNo$*DuyB)og;4ps@K{JZZ#aE)*dk6l zG5ORh_5_$<7zP%bg0S?N(!;6Kh4|rFq;VPSto|!z)5_zsQenPHZ$%?hJWT>mBAG4D zOi)1i&nL3JX*1cahydgsBr3Q_1!hfx7q$VYu2}(SobPN4kT`8~0H{Pr#apM3lZ})e zR>{R$7=1P2uF+Zd#(%lZ@9q+hD9^z%!`ig?dV_UptGe$y{?+h(KvuZ@Fi*`7l#{7idZ{{8rxGqGT^^ zY@;@Af$y&v7*Ekz&p_b8e@ZFMt;UdxoIuh{cbyXgp>&!|hzcY1XtN3WCeSqX)Q58d zoA(Qnw(hSm)aPT8MERlA___>`$04qk#V7TyIWDiLakDo$mp}TOu9VNn2tEiH4$EBT zL)KZX9Km>W*WNvi3;_Q+$UMnTsElE{*zitLfayvpzCRm@WfDvw&K*i5K1Vp$nfu$+ z4b6XHEyCf5(@ui0Kd?(-<)cL&eZKo<`Zfl0!=QT8#>TwL!h)zkkS@eQoSMjqy+Zq^qu7* znHaZ^VXvroBGk^94b2QNys8 zc~)U(9uUNi`?wq!zXEmK%&sB|b_!u$Wtux2~o6&?DHXBZa6>E&X z4)UHLYTkpNkJA%f!R}ZH_2&hNUt9!T2U(Xub5Wom$z!l!G9p31^-nk|22%T*@g#VM zCT5bx0600YDKVe7ryy}Z0P!Sx@9o$uZlSyEX-j89`NIjdu7zt!_}BeNms~^&p3}lR z4R7?N^C03$mHmSg@#*r#jYIuMwB&L!M47Sdth;J6TW zRmkZkm;m-P3QHe34B8f4W@=hIz%GX!FgWg+#UGmL*yzKNM?{|9pD1w8jT(4clleYA9E%h>j+B99q2@ z8w!?AVNFLyAh@GIaM>R(eFF%s<%$nzR{X$71iH+YBOz4Y5pH!o(Jl#inq^Vn3&js3 zTEOf+&8fSWZ}rvMU^PczNT*JW*tYzh1Mid?Cw;9b-s0UZ)17Y-U<^z?^Hv$e?u7M90csj_10x8}B zg{;z}3mHgN-wSQAYR0A6qb z@`#UamR;cbC-4Yn?~sWTWPza0o^K_5#TyFQN?_S|r_lTqu(dhEcpv6c7U=80n@6h7 zsDe4}RHy;5_NOWOfEX5XFE2_G64Do-Kw~cVcJHRu0+0}Wz~bor**lMZRA3qSH<&oO zxqNhthAS<{z+11unVrL)4=30_kw+H@d`;yYv=kt9|Yv+PA z0&NGF1JwD7?!CiF!ygv3m;+Lm9ch3~2mqUyYLz?%U}>N-unE&P8Z-d^*^0m>svO#y zPqgy_HLAS-c^tBd5kM5jZ+r(lEyn zusKT}AwYvvmD&(cJ_Ddeen)59=M&Gxfh`S%b#g$I9YM72yq~&xysQSuI`-oI0q``( z^AOcXuEKt0@6&KfLo~P>PfKJZg0^kle`oXxiJL|P8$Hi%l%90zYla3 zB$+X!OHmw@0x!N!GLwUV9Rz$u$AgVzz|kRE3`Wdh@fZN9uB1o&_ewV%1PMJ4FIapP z#qK+9-S2DLy8j8P#$8kJhm@TPJT2&9m;zum5)jdNn0f>fNe$5Pcjb6IWLs&cK=!aD z2Ji{mJ=5bgPdnMjTA+IFnnN=Mc=|Q4zKbynEm<6DH$gd1Hi2aoY%<(T1dX}aXedwf zeF%VHX)=RMIk;|NsQ1cWqbDIDI|nU-&z_M(ql{ev;$i-9o^%gI7pM;xGeS2RBLRua z+>h-5evIMou7g+1_;5IJ0Kr=0{Eu(f+U5j+DrTFlH;Wo#Kp>Ns zZa@G8J_2In$GA%~;Az_H*GLGWtlSFVnjJ{N>;kYGP;mkRHf=qCepsJO4~MaLy)ZWb z?@a=EAibzqfMSG_0S(snr{+3a_obsZ?>_(wRJ8bmqtF8NJPajCUL%#i0L{h}gn(ztk*m-+Z%zPX zJs#2ptQpQB0#ws?^%V{2Bj7W3KgMI|02M3*^f!ySJbXZ?n_r>?ed)kwzSOIp-3tsr zK>?UZxXSTikVt_0_=U~;|7ZIQNBrFwG9{t#J4xXempz%73`I96+4|gN7`?7GV%q_Q zZz&MVuyMlfAZ5J?-niNZt#?1x#JNK=&8U2vp<~w23fTnm{ifnBSZiMvaf^dGp%A~ zkKxXMM*oYSn`c8n?CHfqu}4i3Fw!08AO}(Q10F4ZI?xVMfDar+@vJnECL1f`-c7M| zg@cLd7ebdD2f)y<5+3lC1USbvKu)wFgLm@@o}<36a%E6@i9_?o z{B5^ZhEtuw*P-p29tQG2>n5)PIjp>(Kbhr;6zmiN+8GBB@uAh zd>u^Xlu#gqqnd*NM@DJZf=` z^S1|jUFKBrrg9k9=;iwjR#m`CgbzSFIDgYoqX(kkdcjjgx#>@)ZkzrDM3yDwTVhnf z_9+qnwU27SbfW1r5DI>+UHrd7@u{cS^X9?fO%VJLtUrRAiyWlCC(0Z_%=z}m=H~l~ z;fO+tf<&{hw9ONoe{lh)CX;)L6)m~8S?K3z-{Y&{Vz?^9DzTN30C}CGZJzSSz4LQ% zW3ecAN8Fawwr^#D4s-owCy_D0E}n9S<^v-ERU;jvgi4DvXrwc8$qa%9p%ZO*Q+Ldq z<};Q?egWV!_s1VY8-0KALPB;FOu^CwVxMOZ&^Emiy&<$dlK84nI07aH8>(v($}EC|k*Y7RJvgA~Po*cCyd(v+#d29NBl3E%=Jh((!$# zqW)vY728FDrIHqtz+zHtVc^>B3hE2yyO|XY6jHh3}($v)Hio>xTg9^OZBr&vo1O zy`ZRqnr{Bq!2}dGxw~BV|0TbPNcdergV9j*Ow*?X=fH7}_7gyb0iRtVaPS|KCIcod z8?$e9w|Rg>X~Lg5pPPGb9+c>`_Hw<1kpKdFPWuY{XM8}d3Rus`A<0CjHvfl65$!}2 zh%}8*n1HdS!pN#PfNPSnh3*#c)?7eeL17I=F`RwZ>l{MA$lNFWag%6U!Hn`}E-Rl= znO>pKmxkt8k`ezEf)5;;1RaMu)GzW!MD57>6fM(@vh*rJ9~ZafgRfE~!6ZE7BL5=- z@Csuh5aaJ!#g2pkI+*{_u=M<-UUJTGUXVUKHXd{jb^@0cTnx3PTSt|FAhQ=_V2ejfWUJ%|C`j#FUi_ut5^_tij&Zn zQneqw9BH8gtE62Ei_q=?AO#&11F_cSFKrEtvo{?xRWxdpf41m=Q zzLRQSyag6pn$7QK>^%GXwR#@F1&rbk4RAl1nQV0ia8Xi+zEs1!H?36x;^Iyf3+%sK z-1G-J*R+VezuO`?q)CZ2gOy&9Lxzc?H8gh=bH^_y=)V1*H8u*!{rAr3_5{E;^ttcF zbY~G7g2I8xv?xUuv*(UKXg!AB?buslECB&y zwLA;~rd>h;m$!T%T>E3}-1*(}Ui5{3s<|imaPPGLs@y~PVGwYCqoP0TLE__K0iq9A zJ~F)9Tj9QxjGqqlego0E{y=83p_$|sIREd^9EZWnI-IYzu*CMSJlV|oNh~!O-Iux` z#1*##U*G;|+h~&gAh3AJ+7ZGNJBGB6Q|&fbX%5WIlM2K?bbh+DmC*lrVCz}Xa!rdd z>qrCj)jxB&84dr0zDN9U+)9u-Z}$Az`A87ie`h~C18lypW&w=EJW+C$dY2r1O=)A^ zR{bo(z7PDAfJFZaLWsY_x+u15SM{+2(XHRh)Z9JV=D_e-z=y*%l}Ed#Kzrm8X~5tU zJpZRG>Y`dU_0;u_ZcoaB#09J}=tBOR5fa~8(AGM4%>C$Yd#H!g@-z;&E+to1dvYL+ zRQJDjpQY3~vs*n|WEdlWxo&1Qf206UhLN>=_s3O!H8espA?>Ag+g9c?F8nX+#=vHl znWTduM7YgXau-?4gg?&ro1xKEdT&H)aiYh=D1}Xcw(BWSsRFiYG*p>lx$`FYnMcgG zG4~OH2_3<|>XM9bv3g2S?fpi7OA`9j#O?<-NAqm}1s%aP#!r`bv&I+>e}TRysaAtI zP+79t!Q10tT+qq$zs6GsOkNxkvjF91- zRd$5-WOmfye^Q77ptXr=2;$72TW&{P7%%&`1K#Gk=OMvF;vab3ip6V12)f$UElidxbux$Nj?V`LQtfW$B#Eh&siQ z@^PuduW06UB!%_XZPHe=G9<`M!T*}*HP5Ug558WUh$&v5;QjCX7GJ=*+mee7wH)m zS(`rprJ+5NY|xqIzbEVnvWXg*dlFEG+qPl&Qqe!TU%sIDcIG-}TyNbEdy(JeBvSfT z8^6tpF|xd%28Fe|>M$#S8hJR|8-nTR&05pP)6?BJE#+~_i(M@z6aCzvYlRCD7L|>nMN2>u_ zc2c-KG&JvpEDrn*@&X$t?5G{8#{pItzZAa_n=Je1^({(S9AFAmDq=Fb{Tu8T6uEy< zDG;G9muP(eD96o#k4NM1sg(`IH0DRT1u_=ZDm zqwlYNQrc(>}r0^pBM@xm*v~%-}&lQZ)qH&el zrlPpYv7nII-4OtqJ=jCzKr%ZRO+cqxb|eyb_49SyhY?$`MSa3Z(Ab82|BA$$2cuD; zeL-izv0Ze6RfvmQ3nk3D&?tZ0CFF@zFZ1?jdy|S#vo|dCrZe*j(_PBblj89k#${aeZ+_{(w|b zkWcIQgjGL(=RUj1bB#_G=wkTekkDoJWk7jr^WhKWc1lnd&^}pNlLvHI9?^1CLW8Vu zZKJ=M!F4!e)%!DFI(?-=8V~PHh3~uz5{70L=OV-R^U8N5zgD|?l=fT%k1*E@hBL*S zC;ZP7V-EyxSV}H?vyCTu%%aC<$35^4JtK2O_k`Y12mI=6>B#6zzSpt?-s^Ejlys+O zbgMS?M`q&2=!ZJS=NrSH4*H7Cj$aPI&W>9Q=*;rx zjz8r=Nq>T?<%lS!bnyZcQ#j2HrL+Q1E0toWbrYWR-tyx~%u}Ak%7T#>vn8ZX!)**) zFc)b8>Mycn6wfZhb)@!lX8s-r3}}^7&z5juqk17KiIW{=cJeW{u{Tz_Q$qT_SBvYO zEd4mR;qt=O1DN5q(qU79tAEksqN2bkPREKeY;g@ydt85&1{0TZm&u88jNo=M0P7@L zLA`5c;29n{xQ>xhj#A{#jN#wN{IJ0`);Y|apaY!1pSbf2bfLgVi;V8O;Bb0VG?0wi z5AHE>6JE7q(g5$xlKqhq^rKpgPeDz=;}-%uqx$X#;yl)+q)3OXCf^n@^eEg1ZcW-S z-yvpEyY(kDT<)>o3$=Jax&rw^Xy?A~TM9tBQ{liQh3lc}!M~(K zM!|TR116YjlA*YBKlw5kZ~ui(b-?!yk>A8)0r8TM7lJ$YeZK?Zah-~MKW|rh?2y>H zVB`j*^UY5y?c7g33rN2$>9`_BYScI@vZIo%3x6Pj12!>}^gH*ho`dl&e=K1%`5)qu z5Z`%b-*@pX3W$GS>`;)to@!yychdMkfOG6@R6P!yJZ z6_kq%$c<{@94>L=<>0|-#y^N^3tDB+(ITsPcWmNxRsC!Nr9jWhD&}fZ*2>55dV_@G z(e{-APo$hAqNt$eWW33@)9tD{iQG=|ra-LYFHZl?zI7E@ObVSWe4f_koc1BTT3_dRTeh?O&uOY_Tbl|)woUK;k6A%8t>DnPIZPY?1|`e$$E6n3 zm>)`lW6(Lm(NSW?sw&B%FQ|4)KaiFd$^7Yb1m|z+C0cNuk%68z$1e@@FX%;6EC62p z_*tMA3|GyvBVTZ#b^~Bxx>liWA^GY7L|ZDv>_%te7G`U+nC6cSwwv=aiZAfIQvPmi z*Q+tj0P8#*Vs$vRJtIJyJOjW&7kj1idrVfY;;wsqKLxW_MdkfL+9bZW04qXS4|Whz z3LvA_D#yftyqKL3tn;j(hRzoDfUaTwAw&n!OgZpNl1yMRKq-eaX?{5 zhZM9FhiQ@IkIr1%wiwzo955Q}-J54k++Gg!KWPGx{nOi%%qL)iMYgAvx1WOh)L#X9 zRP_^x*}JXW`b8+i2%ZEebody9GDx02iFG8dP+$f-ZTPr$7=v@@z;)OTW*^^v51!>y zAQ4%7qseYA*J7!)cl224nIK+Wjtw^_+i{zfaAuQPSs;f0^BIS_copc3D*}N0f`@da zEvH)CI7lgy^2Ie9z+j$rssA5<%t6b~0Si5>8M>WCai}Mc7OVxf-u7x=anD{@8f||1 z>Vldtvs1noVjP$1+uG1Xsl->HJFaizSi9c0vdYduYDOEA=g>NofZ`G}j(B%(-71p; z!`Hwf_eWSHkS=!qymj&b6kMu`3qFb)OUE}KIDc`|1ls9zsuq@rt-Z&Y&ii4R+7Iq_ zKseA-HO-DupDuzTTtb3>-oVOy?CjGalTG9Hh5e{$?L{P@qBTF$BDLYde%lj+M%M*K zD79@`w7@Hfe%Ny7!(|pgSW;o)ckLh>e!lO4j+g3qPK)IT$Yf=9nKf+|4+j(+kL+lU zA2@_w4A@u&clN_TAi1~KU{^xw>kRZZ*zc0F9XumXf+&%$@m(I`h6pk?!Y5(mZVs7% z{WE33lDT+4NNhDo%H7k$@~bnH~Hn z?f!O`y5Y&GAR_{?cxPIrfD0QFXF5m&M+!j5tv__nZI?$5@Peesax^dYH=AmKfIy_m z3vNgViy&i~yVj<&>v@WsAO+X<6MnWmt>nXi3;quRSD-oX1zM!3*dw62V+=lUaWJi! z>1xgGX(@n}CAx!m0Sb`5USK}N36?+ECAb#|q#k(h@lKHF0#Zf!_sc@YajPEuxaVR$ zc9)A=WdfEH5aoA%vkB(~&!~%;CqhDK4+TH6OPcL^{{LH~t)_tHd=R*=)aU&b65Cb) zrZofRTwXkv^&>z%X{Zh*}Wf;;;oXWbwXx4p3mo zhe)D#Rz9H01i-R0emHxZ&2*qP#mPuFNVjll@T1q_vt2R92QCYwE_8GXO#U zbB2G;u<0-VR)&Ah@NZ@Ky{P|lhJViR&l&z-<_y#Vmsq!Z0siF=|Cg1TfBD0|{NZ0R z{kv@W&l&zX!#`*E=M4W3sVs2>U_+g1Pis~TpcBsWIoGZmndF|>^w4A#-{VN>z7@UX z23hurXKpg%xTjQRhyJQf=YSGso?TrNRKkQ0l8qp`E^OEg#^yzX`d>Pw=OodFu+h1C zH!_;=Ml;RmEHA)@k8sFS%@qJ7|L36a@ItEk>Kan;(S|7Bj!;Px# z12w#7T!UQG@O8 zTaM=nVUBP=wx&njj7@s*ZgIM$G(pt5U3bLtZ8)<-T(_J&F$*XNe&lsDFU>pB+36C)3MVNpI{BB^tHd{SC2L*BxHZ;~dd0iXGED~C8in|-5B1S0Eok~aBA}fx1 z6cO_a^GdgSa^Z!fW`w~i(`d`DTQ!UNdwagTepAUl07^(d-$-{9USp3{k($kNoXMj} zU-@pF{J_lO0xW67zemUN20j@UmV}%l|Qro@D+tX3@krN zx{kB0X;Nxq=T~cf6e2$Juw?de+(*Z?W`+lsqGYnayt_&hW8oI&PeaT0Mx@<~8ONfT zr)=V;fv-v#nJXm9O}@p|4puYG3nn3!zb9q*8`U<)*wc6n#=JU;NG0Zfnkl{XyVwE` z13hIsZN15{K0lIU2VI}tvC&y?^OlMd(ZQBZYKIbwFz(+BLmxlJt_aSI$C!momQ71< ztk|#OjMpQ*mIsYh9a=jud6BKPKL!?a`z@19FdGX=8{nh*Bhm@ZUzz13?eYCd35mq` z@wB>)8Pv;l&j|i9#Q;`>Y3w&Q2bE>9&I62PeHP=6Z=zM=()H&vmq!vr^etalzoo!f zKD|GrdYJ7EJyb7xnO({Svu`lESTpX{W@PCv+v&YQ7zG`$qlw|6=n)6_z+AG-TThR^ z!M94?6AUvh!FH&o?GC~~(7wmqFA}lUrr8aOZ{0(0&AOTk)(YB^B2U|wHo}b0kQc8^ z=BtN<;XjuE>t3zogmFS?y%sK)I}wOFO#`EF`eU%>Ft~ zJB=pvOjhO8c8hZp>}yuatUFaGY8%evWXdnp6cCEJ*MBZME+>>{U=s<|!pHWaPp~=-=~{nkG8S*_!Dh}=ySB0M)>R=UbR33*JGEOYth$Vy zkFfEKakn!YSbbTnCoVe&d_QZ0s+)|lk6P05a$3bU(qybKyIQZ#puD?}al_b!P58N~ zXiQ(sxD+NjX?e6I4yN-7NY;70XVTU^mEiWe+sR03OfP-TsgZ78@X{D!EX-Jx zs{topy1nwlIni-CY_d3MO>Itp+;E*((pAK#()lwdzk+iHJ*b7ALzVTcPWEZEskR|z z7mW#0HP^k)5YZkpeIBOgHl{VM9gi;aUKq@%>}iIZw7JYiLvgEm+_rK!uG6yaUQ5hu zvxk^!nLYZ8!mxvj$Dou3TFgjC>U6_^3O(B!b;u)dxu;=CT7-3iSNv&I>CHKEGenR= z6xwdAdqL0*?S^xz9&N2t!=uI7<&9T|GTl8o6zmOz6Yj5TnpMe8+nSl)S(C?Czb)@0 z-R?R4>+q)U6bPDA&YwW&a6OwD6iNp5=<6UZ@xTwrf5qgkO;8!cZ^~-Lf zQPv3KVXuWycJ|JkKAMd;)sizNPmWk{IZTcPi#uG;j-Kq5#D&Bc7T^C#a zfO4KP3in!?I5qi-JL&oY`WKhAf0VYWzHOaNi|UJD34ztOy4D*ms>k3o(sOAjLu8MR zN29K32nFrDdyj#0*oc#~*DvPI*6KOQZV9`J@8Xkr;&vT`kwwy_LM5*;hN*z#PFDt; zGs~4OINnaaH!kBnE2SCJ8yCvZR>g_!t!SHQMztDxtZ1jNQT_5~);*6PJof0YVRpB( ze*$&}hO_66f4Q6s)$og3?`Ss8uUU?`)H&U#`dYNmIU`*qN?doTlYg~J@KLkN-`!ret7~5w;YZ- ztlEE$Jtx1?NDPY`O`PibMCxxAD#eLd7iOPJj85`w^CdnD8T4}17%(aBuFkAkK#->| zPcPpvaU1h~^SacJyf7JGtvC^g_UpIwc5Y!GEqoKMmh<>OYU#N1Z3o0rmK)~otqzIn{<)t%Pun`JN1wls zGOvrPK%W)fj(_@K$IEu6#%XiMi*KJFIYZ{mHx}f0Z#vtLk#a}2EP}E9Yf(ny>28$b z2C>b%L)FXE(*0LT(vp|RyM^yc#*<{Ee5f+~d3a;vJhVQG{lr{L+gM+&n`CKom0Omt zVy>N#o&5QZO*vF@{>M$TYf|R;&uw1e`RMuiMU*#DnANH8n#Xu;HN)pt(Q$)e?@_Db zi|PA}@qxN`)WLcDM&q+_f{DgK>mB=yrMe|VbiW;%^d-#Ac-f-&8@|NWkG{x~nqd%O1Qy081Xu3M`R8CC@+YmRov)=E>op>(nV zdGMrPH#Fy45u<{;-HLF3eSh?Lm=5RsWFO#$CI@o}HvRW_!9D?hDT$k1HJ!k%|*q%dm~a<=@GpmV0>^Mb}D3Ad%kj7mFZ3zYb zG7OLYRU`SfO4C&`Qg_ELXUD^!N4S?LJvwsPkp*UX%wQQ00#+xh+gz=+4S82XhS7Bv zIMIn0CIw!>KS}L7qur-&ww*^!8~?IY`&iy|r{ppgwT#O3X{!uTapZH`C3g@*lTsSDEkf$-E4@D;lcJ)p(`knHO}u54ko2 zX4cwz+**YgQt3~jwmL^#*L2LiGE-A^k@In8YqZ>BLJdrHs5PW_8y>-tA53Y0DznE# z2~-Xuz|qrCAJL!rzFKNqsB=EeylxjZa$IBlnLg^S^WZv@0VFfAtNJYC6 zH90%(b{8`uDNzxr1+0FPp-46zhcH@(a`ZJO`ZnuFmn)D7inD=+4xHB*mt28>G?XrTB(HICz+Js$f+Xa*Pq!&bQ_ED(^FX*T!V-l z(ns>S*7jH1=KEk$z(Od?vptXJW@b02AJ2c!c&V9M;*T-JJB(|6mk% zvaZ&@o!Kyw9mDu;8$amGJrUu|5%Nh85v_E4gGu| zeo;iHCb^K2(BFgeewkK)efaax74HoJ zcL)KK$r;V2z(_SuUM8oVSE`4wBgy9u;_@0q5`1X%)YJJ+87O)bOJhog)14iMiaNnr z9l$ac(<|RbfxbjBM(_8>(g}sk6xJV%HMW*f4cEN7jBzGF2N1is{fHZt5tRzhQOrT8 z2LU!!AB^;+Om#Wlfi|qz@ywWLD*4J*&X`!Zo~B1V!#YP0)M}Rf-E=Eb zA*GNL-@iPX^o?MjP72P;>NL7d*=(pye=<>~8n1=7nDp-RW!9cd(q=bLJ~K)(QaWEj zYPrkqsVnp%*Az~Cr63NbWHr3#umw@nMwE@5?l)(V3LKf+o9#Tpsc--%m?y=!g0zAy zFRc*$vrICSB3D2QX=hXrzIa@ug!!K=T9525a9dr_`itgCe}m{IJ*1HQFmrRcmM4MI7nx$H;C& zjZoIB??d(kVEa)Ab$+6|qA_pPW7H^#NB*=EghQG>jp54_w%rd>+^RwPq+O7^g);~BKObQRX6LW|C)!s=RH7}&oY>c#ZQnG7$3(;8P*r(8dNGK)}Q{(eo z2g6a&JPT_cE54681uy7AwxPvB=|4ZZ%KWn~a7@0=sPRY3OVJs6`4=m%Ggd}Asm9;# zB{p&@vD|uXdI(ng=y2NLi-b~rX#$E>GeQXwjn!KA1^}v zv^UZ@5`z9riqCI&hIQoh%VLaLhCfmgDJ?B!?g2+Re=se&%1|BfA}PlCCMOdaJ&#s$7%ob-tN?&nWQyS=2f>N(v4+`EUpb^p3pV zQIC`5qcnY{RwFPLMe`X5`zPv$h*)FPuQ1H1FpKHQ5#spfo|d7BQ1>5lI%;il9S@(d zI=Ltkat75m-T1ovtwsBIs&bPd^=+jRL}{2gm4NLaOn+#(u-@un@HU~f7|uB5cJx;9 z!59sqaPK*_Hg@ABoVAV%SvwzF9)k}5mDhrb5%d1~XPeuD9wh!w>ndSU>&J6z?d=Z0 z-#$jQH_6de@pPH#_Y=W%>0@n@4Dlc1N^jOlF=RCrbH-QIAvb^h0Rxs<&yDE3=c?oT zumm)F5ZmUgFfo2YgK%kTLi(6wLv%JfHov^P%fmpiPB~&ccT`psZVt_Muvytcl>}3i z=8K7rfDuvcudbNQHfL^lm3wd0s`sQvw6gSdhG%4I#7NF$=*XsVhf*?UWIyf(wrT9~ za{6i8=(~65nd{`7ql>(2a|?Hslu)lJk)lD4q-4C4rrk3gS9g6CmCU??!n5{An}i!t zU#9EHrDjc3!m(`;(2H2837I(7k10@!M%BI`dLSEyG7?&3rfqm}bIU>XINe>?%*lrb zfn6%V!#Sg*&lDG%mn1?a^N$-SA5O9`uK(v25I?4m$$_RTjkmkDm?!j#F6mVyfzvmmx^+bQa1_BMYxV&l!Od5hdd~^s| zG?1)ymGcQXbxJ%&a}O)JCZy-w>Png zyR@e>uxBrO@j_MPU7x48>oUU%2V}*eH*uA!N1RC;$827#!Im3&e+Z!A?{vsJThUgAEG8j(|~(T9Q5FzM}kcq!kut>3@dh7v%gqz*(f zshT5>)MlMwZcT~L+H>%aT1xV06hqM*7vfadQ`W9QZ>N{^BV}f~-Sg319^`ZII|O4Y z#UIIeI}tDCiF3~u5zTb-t%0a`-SYixgW>E>DP$$(k%-uzaF9XuEa8Y2+0xxRVYZ&o zN)#~M?&zcLphp;=lyw}C#D0M!lXb%dZv|_O*ZpMdA4C*%JWv|okXcX0 z5#NVwPOoI&fW_FnEF3BKBFAKzp&v+hk(Ah$X15qG%fCcGO|VdNEJnT8+j7RzR@8^n z8WJz*;JkhF%`T&lWYwZlu~WaBSN0i#MuuyZXJ7I9m{(sC-ynS{J3v}p`Z^3{rlE5> z6vIm!SitI#D$!FQ?|aGY_G6)1GIxqJlIt%+`(1gfmJl1^8Nh)k>rL&RJ8n{UB4-%4P&WKU(GWn!`%B$a0`*<~dL=x_;dV zFM&}wm%y-DSLu(A@#fro9Z6+-0Ea205@x_?u^XLC)3a4omh~rm8PC`NY1!U-8vMf%}|t=xfr?9 z^ZDq`&~~t?aMc!{{;-F@p2XEkRu;XX!+tTP+)p(RYOnh4@jU64*aPWMPXYn#S-k5q%#URfo!eYP+)Gq1LXO&edLU*P<~|je8^fK5 zIeIDaOQ9lny!C^#_thC1mh;1+EEy4H0MX%EA4he4ecJL12eZhVXx2>li2q+jk})RP zCAX3ic$pWk*SKpFs{I9(&QZOpiF#|*?HWJQ@_vFeidvg$aB3Y zUkmnF9@VExl5@w}C!UxnSmr8&;Sr2C@R1|x7U;=rIEm~*q`$==e7-p#^)(;rc!Z%r zqDko|^4B4AVxKq51oM_n#+%Q4I!B@#ntN1D)|wDJVG)j5P4U|_LUnhMt~unIcrJg! zddu8y0#&nc8R>6J_`?|_AnGP8z(DJxNjGBw{hJo(4>P19Th{lRhauuL3t10?X^LEw z84Hh-@yJszZqK5f41TTlcL4})<12B5BxZnh38oYK078EYtIbX&d7rPBA%?8oqc6~&c{BmjJ33c<@(%XH9(9-MPvxVebgW0G>TSl$E89IJnFv(xj%KLR%W$Iz9Y*)&*<_+Ny;a5NjFI-_4d=7#n~2lBmo4Zu!Rag3!dj<7 zOboKQcHbxy5QnLzi|g$gTI^`d@7q6H?4tiZ-Q^4jz)m*?6`7({wxrz#MwmBE5 zaewWtqJ5rtFN-nQehyW4=_0CwgMEt`;Rd@8DyU5rVaXyN8+;-GdK?-E>D#jCg*EN9 zt_}Ok17wIxj4>giLHCz57nw#j+^`JxaWhAcfOZXgatn){5n zft(0xI_hKRMl9q|4=Gj@88bYJC5{!S=NkoxBGJk;)EI45twlE1<%9N^1(kcshq_8M#{Mcp;UFU{fivw-_976P%?1-fh>V6K z21N7-HM7U8u!H@8Rvo68KT>^!8qkX!Xnj7F$IE(|x#gblIk`$2@fSU;>BEkuUVSw* zPV)$%zZ>qwIb22agXMFboRfAp7bs8V_fFP7nP1Dk17kc4sIiRMQhnd6Kp(y@YdD70 zhynDUQ8lq_Sa*+>)L`r0El1>SHYG4W`~-OgT+Re5lh~4l-D`(x$)7S}q9@X>KF5GK zOo(RN*h~BU%6JjqXOHc zxuux`MdUEbF_@X$K`>wHqv*tn&g1fgIiHvT7Nxmuu7e6~(2*I&eVypeOIS6^wcA|G zjtI~8uIRcbday@7}t zfDZ9L8i^SGHCT7J5~o$y-wOP1+meo8nYI_wh>nDQBATVAR)7N0QSI&k#rAZ|{V9YR zvDt0k+bOR%mr3sHrg^nmS6NySzKXSYpc&UQLD+GN;~TY4>(R~Z*{!G)kb$Ix(X$UK zYU)@ZOZT*4iYttsP>?Poxs=lZg+7l*LVlT3)8M=`QT)|vm@QIODwAwkNJX>KlmaCa zMPccyLkKlvFFQozOlZkJ_ULDJ#o!D^#Xh4idet3hVS3+T>VS_xeeymo$ByH0=Y$< z3eRb~j)Rk$;KObZCai%*;KI@H6f!5d%dMMR2$unwy!uU}C=-KPt=}ufrOWVOa{~WI z)m%gFNf6daeA;{a*%IG(U<&%w5Q|(Vj%!Plv4be~#wTHfi%?5VG zt3l0;Y~vpan|7wX05M)(%LqF)HK7SyJa16Uv`{!rn@>dp2*5bP=SM{6!cSiiey)go z3wn7&0a4C-wG@(nP9E6~F50j0CM4~-E&aRhga-i_x%s?zE{>H2i>g4Ykjzu}iZ6h2 zh5@I1dVXHaEne@i!cwZ&0!FhCfb7IP%2q1?cpL9L7hAKz^bA-Rw>i>LCZxJMfF6l^ zI@qc681bT9dr(&7FpqMeE=ZdDovYowbeRF@I!??VZl@0TLe zj5?39??%v=eKPH|*&n)A`$4Gek=@3$urSI16{vuO^!x>kW+4FMEay=^v*@n21x+o}2gpE>>haFwi`2{4Pmg8PbVPquS3 z9RoC}Y^Q*GhG52pt|;(SKDt?R`3+!>iytoIY`mqnTWHqjS-ApN6_62@`u7sw(ybe$ z?;xEE$&PJ5L>yPM`c{$KdJV9-cOt1srbA0>M_G1jZ$mcOBNCfC<O{;KN%ImK~eL39!h3_VI6yMYJF# zF5y;IAtNO#mz_$;uCf^M`Z2n0;9jwqPyyL-38?f8X4NVP*b(`N#XO!G*I6M92A&j4y_IHYT|!{=a@p4G5<2L_39f+HKuXadz_hd z-g9_>0m|#ZtnRRtvsi(f=YNh}=NtVd$g8X|%SMxg$&+zUzC54zpS$ZIfYEZ3z^9h@ zb_zLdQ7BL;s}@Q@Xxitm92BZMz(1$B_%0Y^9A<;H*dy@8A6dm$YufeHYJTPaih?`- z(&9UZ3P9Npa@z*nO-ufPa1K-vc^5=7_l3fQ%Y`U-qgb`q0si-b=)$z%s0rt$ zC_Y(u*W4d=rdBO|!^6s+;d&U8@~D=o>y{ViHx*|e>;x498|d<{Y$=I_1+kX<`8g5_3PFmFm=FIc z$XwqQo=-f;(p`I{NQ46eo|v(!%LC3k$V{uiVa@87H`Rj1f&_tC%LC?4M!>?Lo8JPl za#MwiCUp}TZ^ah*rgSv{l|6lRWv>NB>11b0zF5+HMJEsY;*UJBxtU-wJ1Kph9)MAY z{w@wr?<*1#mXW|GcqQuWv^N|)uciRAL5y_>!r=|z`0Si6{GV_fJKBJ>|I|zjY>pEi zz<~d+9e{4Yqpu1uRv66phxQG7xbkz02c7OR|1WuRTa%IY_0reQ>nLdNDe^{qg2D7> zwh2x0ACRy!Ee(X+58BMc7b`rNg#O1 z{>qtu)M1J57$8S^hKYtCf1AY{_nRT$fsyWaCO;&$sFr1GHsG#!#!dIWQ_fO)Ws{#+ zm?yw#F;JFJG!K7H&+msk#VsJ%j2dd{APmA&}bD#Es1u$6x%WqQ@o!4C(<lh7bl5-)Qgk!;_u zsQl@jPzXZa-b+5wWRb`i0~4xWh>Q?q5ilyU4Q)89;nxsx*1f*5Og{)z9FN&2@c4h@ zFnD}#gZ3M%VcV0#HA0hk15Dr=%z;<#eJEkG%ZmW00syEvQ_jw>K7Ui!im_t-V*|+h zj*mt`&G&@|D3^WCoMlb>eWi_z7gV+`60--OqE%_AA#c7#bl#}Pek_J4lbeLxEG6*p zt@XtG2|rUSqTh*;jd<#Q0Yr=ebM^5S5rV3-a#Q1;2Bbq^q{lVxhs1ohK&n?VHEw7o zy<)3!7B#04eWK0L29au0c$go7z%yWC*oWcTMF$e_MD||sIh!iA^2S1|;pn)jale_O zGhR;~C>}JGckl`rtMhYvvB=$Q;qmxR$X-DnFBLMx;OR=HIu1nnL~6b}!E`jx?*w!s z9&DYHINJ0}*^S9|e|Z@Ku9v5G|G<>Q6?XU?oX0&JwS5B$i&88E+XipU>n zM#*r`InN_BnexJNL(tIGAKwe(rlJLG4ti zo-^h594{Q6bGg`J6Q}D2_}rWI*P@e9<~&IGmpuI~$?U*HfJ3e6sKA?~pm-f=z@aL%+`!1Dt`Mogm;CIA zT>}u>+!DJ+;B8h*d?QOEoS!^9?o6^N-^Vf~{n|)kpPb;-_;I-E_A(o~m_n3&{CZp* z3&?w%p}>D+70=9w>~rx+E<}HtVg5CoD>P}NggkYvSY1eb>_Z~*hJXNzfJk5F1^E|0 zzP~wCmS?13%6yclof?ZWK2x_ZB~M!E(PzStS#t2aCE|&e9uPVI`s|~E?&I&`>*;-K zgoL?{^^o*fRLvRaCh>{C{Q@+O*&8VcXyS1oZTHj67sZuxPV9R6$e?gT(II>KfkbG1 zt?;kUQ}Q`Et4TW}NqHB0F7ZrnDgCkqc>4QgKt8g(d?mX9it; zWt4I%y!40Lm5VOA1TV4>6X1|AYEbi^f&{*|ETO!aDW1&jNUU;cb3NxPLLi^NH{P7c zE)j_2`oMWm?c4DW1Xa;@MR1S@)+}wR(-{8earVcTkUfgi(|!RE4STF$ELRB3CH#8U zT%q$kGP9w^^>nAxqwHUa=yBb`;M+~&Co+r<*d23#UJ!@|-NeBeX3>oTLdy#VnPqvl zV#(~##6mQHBCJS#$%x6l(Wi+Du)pD->KDa>=M}*rMrv+?OT-^V#UnM=sNQK$Df7Yu z15V;y2|#HaGpg{*I_rQtdNlSfv684oNEUEM-$B_J#KbaWwoHak{(!aH-S9mYf$_sr zH{h|rcg&ENWn;#^E(@fe7`|_VDD2p=Xbtj;H_%csc`v_q@nn4E77#78$VbLsO0U904RBR#jnSPHw(K2 z2Q?>b6)>0{z&3bX*!-VDthJ{>yU1!dB<`r5rM{Fe>)n05wejEB2i7zS2FpRft8L%@ z{vRgKxg-!PtC?wK#8%Pbrr!9?RE73ZVkx#h(2q@P4n$>}e}9Jk8iQ4WP`eb|5(u3?fKVdII6`oVC~?Y)Zb}bQ&f0FrR;+|*KMC%?V4AyE#)I?un_ENF$U)_% zc4dgE+Xc5pR*-jUQNVLa0eOnO>FXAkyDGc2<83zl3zpiSPRuViqmH;1Rw=$TTG5Ek zkkec^aEzzkkswBLzETYnP%mBKW%QuE?X`c(O8Iy@*Wb9;Mtb&%)iz^xCtz@BBPBl{ z5%7qY>zOxXv1dVwzxUnzNmcU~>N%jhpyyw?ca+PDHAI@%?B*K9Sxv@PSOt9tq6$A-a=U#u?v+D@oD!BMA zaGPOnWQ+Mjv*syy9vX{x$-|=t0wSNyODFG|IXE-Wc`5Pz;R0M7>ek5cjoM1j^`^7xTgAY+ zUFQR-g{KBqXNnnSHyBCX%;3Kk`y6Qdrnk2j?wk9=_no+%LX=Yq_8r=H$-J)c0xRDB zmpSwGc0LGsPgoVq(O#uJ`lmV{bA`b{bI=M$4`V@Wm;AoJIMnnxKQSG^;;c|Z0Gg%C zJm!#12>y7L|JwP}z&Na_JtFw_TH#q_iM0gXC4AWa;B9QCuz#%MgOK;=AVZKGBX zMEwJe?*l+erS7b}MMs$OuwC-|ek%j{*;D_1f8Q4mEWD+Z05nT{HRh1LsNW>de=QN5 zdX8IhThP*4_5YTHe(266eAwC-^yt*x)&2>y3{J^V8t~uptMJM+nl=)MpOat25%Om+)cR43N#n%jEHEGLH>q2Y;9y zYctd7YP%ZE7we=w1xo&)^@{k3dE@ch4vXxZyMOte$9wqP%g(ecaENNseF4tyJg@)T zTd98^I944%vfJZ9S067FHplyQXK%wPCEY z$r(0*qV&x6TVYMIhFbDvZPu-a%ZzNUNp-0z$KabzNB^^Cj=cmF>_9x<@t1Q%Ov}@S zcDkEzi)J>4Lf!NquB}ANHG8`Tihh}{OI3QlbYLn#6)G-^esA65dKS^uzkK4x>3MSc z?%`!m`pfd~%>VM6F|o5RC6zG;Kyz@F)VAI7XzR?;HxdkIWWpCaRe6Ht#1un9Epk_7 z!Ulv&S(dW2c-Kn{Xve@m(sT#Jokf?AQ}}o>M|qBUEY2k_$qUK`)!4bRjK;|>+oG4p zr|9`k&3gyOgNJ1IQnGf0l2v>Vr0=Q_8*5eM9WMSCm2t}RADQyC1avPvWGJ< zjdMI0^G7OJ17({+bq=q#RK7lK#mX&bo%()uL7c2?KoYs^ySL;l4k{w?2&a8mB0wk}(7BNQiYnnpRA(;;$=toEMa$;us;oFoNPWj5H5 zC34F=L-KH?SnQ**ED7ABv6rdE4)tvZintHLu|2l0&ePz`XZYP5px}I+_8%zho<$*J76q8vIL5K+jrwlp#&AN z9H+nn2MtWWRCSZVN@m6(Mi>J|j%|7jamEM>{gQ2-b~{R%d89Ks7I7ca05N z?>I&)EEv?L@219A=T}mtyKbrI5Oc?aSub%3O=&FFG^`zrm`l-$*+I@}?~csPCg?C` zXk(PGDy8COq_A_Gm`!`>xzwe-hLs#nWlugKP%!rXJ;cZASnaDSGQudb#6cOQ7)(8< z9I#&Feiy49?Oq!5{@|L*goK+`@aeby+$aR?B z9#L3h8%l{V`SNNdb=z~>936Jg!`s_+Hbc7|hTbWUi?1KA&}UI34`M`mR5G#BjKt}J z7{v49i5y47v(D)W)u)80r?}xJ{g0#x^LOXS3mc<-K$hCGb0!6Bk?)DEeFvjP21q74 zKx_+WYSdcx56PfgOITqcSoR^#_w$p3DtLU!I68bH#6athvh59hgS}&HrLtEmaWF3$ zJkOfQ(+|e#vHUL;#B)%Xyun~Y z_EqCZ!o<_#@P`aow~|A*dXf~Z+^0P}2L4K)^ZZ1SB`t9{`=oHu{8_*|fAEeUHga^} zUpdc8g8Zx`q|NCMX#|^6cjF;`Tl1~ySLGLNcIaG$YRVJ!)407iIEWSAD9af$eF#b$ zGo(bdtJZ%JCjIU@!^|tdh*XuIL96M9bxXBoU;?lW?rSP-^?K03n-egOQ0mM_rx{pR zZbEK$oy|>C)EQQXky^3FK2(vhX^iTs@{002TRTWw2tK~Zi`6k4BGcQ}p=N6AZOUT* z{gr3Wjw7i2LF_7!5RKTMDiuoD+l%^ggHxa~^H{k$_}M3#R&Z?^0%x~d z#|WNc6E=Mu(tz;kra0R^)r14YR9Iw>yB8qOS*22noQlNomEF!kII5ak^|v$xce-gJ zqm~pg6FdFsWSLtvP7TA*kJE#2h>#ExoqOog`mEmqcR~e_K z5m7VvTMZS&AJrRF^#+8k5qWw<3xhKy+Y^Ws*KHy z-OSIC0%B1D?yG+piQ=9!@^TMtwB@huh6@pABG}NtDcD2i8T317vbrk7bNDk;KZ$ot zW4v^!4X-~TR!nPx;W^vTy>X=p?1uG~ZsBrYj=6rUwjV|wEn>t=*naUQomG-j>_NYH z@vsLb=Z11(n3X&JT9vWO9db+SKDEgvE)8+hC^9#d`zRzHONpW2L@L$tg0(2I5__)0 zARlyeY$1AMA{8o6iO^)zLGlqA{s{Zd_%$W;@!iy;Sk80ZYPYeyiYRGRq+QAW1=8~t zNca;~{{)zG0gZgpY&c0Snh9T5NtUW=%h0=@;p-hriOW!_|84_X)2k!BcI(KVY_}*F zcT2G~FWSv{jxA&>Nu}E0pVtDte!=O~aA@0$%KDjxD1X%@8(9$3RCkMh`=)7o4|$vD zldpMy_oxNuv34+Zf|Z$n-Fq4K)T^ALWY-1ha*su6UzDJy5-q-*l%|g+><&@@3n|paHcT1JvBI<8Gio^zKYmY0B z$V9Pj-ki>Du7{Vakq1@jHOT> zQO5ElFt6T|_ZJo2>7~NFMllWH)Y0iC*AOgDER;fdZ7YGrbhr1_4CtSzdKQvT>?sGAQk&q7oeV<|cxZ~f|X{#$$-g3&mXovZD22vbSLvmqYQ)Umu{(E-AYE?aV-Rm+!5A^CkTt5Q-j60g_VL;J6I9I zgd?#6k+!MWtT~NAPO5AVwRL8|$S}E4;1lk$g_RG#_}*2c9FMh$Mib5jHWB-(&mWa3 zENpm&aU+nr{dvs)J|m=jShuRYkSt#|Bvo>d(yCrG_B!187KUtQJNy%M1h81GrlL-+ zDoPS9U2w(*y`5ZH_*J%~!<{p-k`#(Pj;5ckdH|w~Qf=*CFs?v(FHt|m2ty85I_k3p z)xMw85$<+{1E&c8vPCO1U`4@qgC;rI&x|CzC*N}1HYczg90}|C*mJWaKy(SFre251 zd~4uag3t0U^!V)h>n4;9EFodjy56{YLgvZ1ZM_hu@r(jE-Nc&Z5_I}f>g{sgpUIK#y(PVzr45d}%|1dIL)i_{NJ7zj%O-+pdR>Ddq(%~mecmY_K2PjoD0A$`Jsb(DW}^#9N?!;t zWk`GT06~V{m}Th0IseERU*9fbVk?ut5gwx>So9ARU9Z9^pL4+{J1K zW9upOar|@a{TMP66aMC!3N)ZDpMnd zQq7Y*FU4uHQ!J?z38Z;L1C2^^j02fpayrk_l!9^Cg0j+X+ndlM;SOftlbpvAuyR;C zBhvS_^tMeDsb0bk8+@8p3AMm{I!ndj<}p^M#J2CfT8!z*{ zt1D%;tSfXqagp(8QUpPB8t#AQWr3BEl`+!M9uHgDzFl^CKjJJBvejxTct)v=Ofw!j zxO|YeM7zkb*5mk7!SEtLxGjJHhcg{;>8S^_wNEkov?K*zh{99%UTpt`295OifPh)PZuI zDO-x!U`}_&brBJ5$y$dG>FhrVY1*kr#|N`|?jcxkOQyZP0%0<>lDH7=`+(#aeTCzH z)B$wP^88N_nSe-+Zg+?}qy0x2M>z}gjJtA@AptXSgBY?u1dFFz)NAi5YgZm$-(QfS zMqP>44@CUo*}gPJe`VC*gPyA)OK-O;L}~Z5)ortf>XfP3uv%_ZBShfs>j*Dx9;In9 z&(SD`sbgWkh^(7_BDr8c9%_;sTcF2`l^}@A(nt3yk1Nt9kmx{CSw((2Nuw8xG>u?1 z(#y*$^uHzCeppWqzgE{XMrl&64!=fm`$e=hlB~+_rWsBHq=&{t>S?D}F$}gaDkT__ z6>{sMwsvh*F3PTE)X6yh#FcFNr_{C=glO38G{%B9%#q0V=Em8DP?MRC1@j7j?DPMU zP@3KOxat>n&wwvSYjqZQ5#`}fOTjOM&ZUeKdJ5}!4L0+vG1v#v@1M_keO>rv?vLVY zzc&vQ`xfo27T*F!E%K$CG~YXBpXi8~%j$Mb^4BZ-#)CD&IY<9n^WXdZ8Q+b+^Y4$c zuMF~iS{{rVM{M-^sVcZ?(wp0yAZ~zLFR~oTVEcgnOVF3BuHQJfIid0G)?X$hG~pg)2AA-M=*J%X5D7 zWeQSpBR~0TRc8%SqU@Xn^mtLV$bO#z7Vyl|czz?wgErK1Zrdr8BeZO8G;=f(CGG?c8>&H-1Xx@{%Q+Ij8?Re#z?!g%2|Mx4`u8%Kmvm(DX0=ZTgp(TQBSo z@nB8{zLY-j|JC&07arOsbw{QvEUWSBksH-$wX2ND33wg);#aH@fgZJfa0--MSbQF* zc=o}h_n4Hw#RiPU!_GPx^4a~>?i+b4(!7Z{!QH~0=MT7K9P8{+mcHimYsutX-2`HZ zpMyN?G|Q3xIx-Ey=MO6P&z5i`q$LUVQ}imh*vpURz?3fB=71uJTO!wCA7iAuiq8}+ zVvVjXuLvc@?c!QK5t*Zqlo0CRKsz}->%m9f3*@iEMk*o7)sENiPw;uX;_=lJ3>mx1 zxPe8rU*Hbkq7^Zlg|+i>r3aGhD1_3${qj0mcZ?D{92V_UxzDc6HPqfckgAe7Cot!(NjbC?luu@TtpDVC7h*`}7fRoD-bR|S`J%E8@S0cmi$|0x zrEaghq%Ri9?JX?V+G}Z3?Nv^vw(|;m>~Y5!pHt3uT;u1?3$V>?P+Bjzk&&_{YU8?L zlG(+i37Xtkp$WZ_B2#XL8{pL|O+Gv}wkuM*X;E!bBdGR8WZbP5%<`CRY?PIR*#tsl zTrGWuSCy?v2#dM&nse_}SLRyUcZVm4ORRR~vT^r&F!fiwqoIEbz3) zfOJWBBNo*u0}v%lJHD6_{#Zh67O9dl-(2xjt7JU2e2uZUH_6)LH+r*pzVZholm5`l z7opCYi-%i|X^N2(VtrSgbcBSqrK|fQhGt+w2}KZl`oaHUZJw?#^a-B4#fIW1?Ieyj z0rkslXJ09hfnCyd-#FhZ5Vs{NZc$aL8z?0vKKS{TA3$C-SG>$CXp$@e8ikk@v8?sV zsOEIbrlT#_4sL*KlU+_zEdOcz;y9z!;>k~bqx|3UH4fV`a|zw&$bB7E8@So^w@a%g#Z*k!oXIA((OTh^muI2TiZ2WhChD;KyU;i(v4}?xSofB!@8fznCapIwXUB#Iw(bgx7h$`Ars^e{hy0_wv)D;o=uomA6W?;g9^Sy*+1HA%0daP1FLmf`cUQFZW|J9Y|sLe@y3NL!01Dn0Ej-RMNWsO z4ToM7XQhuatywJKkL1Z-#w>xop6pru1pIwUWow z?L`%Mod&0C`gRFbK@{vUf`#n202ozw9-I^e!&k^sdQj)XZw|fq&7rGsQ$ZMbwcgFa zeG5}Eev<{j;Fvy*Tr1hAX-~d%9<)B)f0M2zcEroG9+E6zZzDE?ZinnWlK&CSZOO4r zW_Ln`t;hl~{*Nj(u+sH^osdG7~6WKOzT;shkXs{58*;d1;Y%2{Ywt zE2q|_V-CiRRR7bNlKQA-GHiK~7+y5c)zv`!wK%`R+~GA@Zd0WQT8IF}(N{Ue1W-Xw z1J%vl=~9#vC8GQ6X6_|w#|feaYI@Z2RV4+z=SneeR5CZ&PueiJSxld^j3&B75oT9lS;xfa1+(;dny z{z=xP&YtSPM;YJLWz;91;hqY?dH!F#^^POS??i)zhzh0?QHe-9fwqf4FhdXW#IbhK zN}i`gvBh}n;UsI8{c%yRC(RhKdiv!&8~KT6ex;BtczVzn{(ss^#~2IvlQ@v9!`SB^G2kN*Ptie-^`&I=jpL$oK8bcf zd;ea@TdVPe6T|5Oj5@^Y3+~H0Tk@Z-78f)rWBH?Gw(kKsT$}La?{e|8Gj9?V)-(uK z!+QdS93FaLD5#KQs|(c3*wKETGLm@8mU_~GHo3hT`Bop@;*Js*m9<)Rf@GC2p;S>Y z7=0B|EN;^dReZ%%i<^4lH&b1$WF z7{<(EUXg!{xEv(5qnjN!^KGU*wBF(Md((*jvO26UQ{mQkyUz@f6vj@aw4_6rmL*%k7|m6fVD=uP zql73_ar_>PgVHOrMNR2tVCKFI(XxM0{0TTNfhmTbOd=k%qdUhF1zPz2>nwspX}T!I zX+N)*q*#=#M_l2v`piv$wU?B}ar84xxuxGlU z4i_)O1y6DlWBqMUI<6ejFFW?3qaftXRGNVP{`av7Vma~+&zRvdCA`iAbB&j04rS2l(kJ&xzJ;HLrjh`=+B;*;eN<#d|@~n;S_GM~Mj^?c-U-hC&gyC!Q6z4JKA$SM^5SyMlTh5OyZ|(VOZL7B8 zaGR7O^}4Z}r@zKORSvv6(;kANZ-d3yf}ekc7H@tN9hO{I+c}o8x!L~eq74cOEFe7s z9=}ki^*c(p8WW0<3Y&f;k&Vr*Czg?jL1Rx!2?!JZK1p8&=&qnR-}w*pif^qz9W~5@ z1`gXGRLdC3Md{&>qZU_}b3x7P$YnuyGH;3JsbL^Die9qv59Lvz3zVlzz<;Mt82**| Ir1VnLkusmEP0aL3NLEpIsLT2vZJGpTM2kbnJXKSxhiQQLFcJjeb4+INAD4fFYFT/ijQuapoHD6UPbnoH7df0zg2X+TFNEZp6T1jPwMPO8eeCIT2nYKYMPfGXIGE6Vye0Nb/gDaaOel21wiirDkVNKOC5NY0KLAiXcsEHG6NZ0W1FirlrCDFmGtwQS2/oHTnmuraHj9AO/IJzleum4HVjD1lkbqhymdDswgccZuGeUctVa1/eISOxaXNS8p09Gu40xVPBjJlTP3xd/rfJnz9mGy5dwVX9/ff0p0Hvju/bAKBXn111ElnT72BvuGN0UKZIBHdGjjOc0owUkv1JaCqMrjH8jznf6HuGGU2HK+ZroUVRj/qec/m0e6O4PHU22H+phZ6c7FWf0nw7+UA4XnO2GcWT/R7uG7PSRml4bSh1XnvFTFLWpohuWoAPQeZqNkGWIH/CLursWOYLoGon9iHkMEcjxu7kPqNmadX7d1BeKxQ49RyeW39Jq1zHSDKH2pWf1tFgwBncDt1I6VJ+vAyJznRHLRENFbHuDM/amhokTWNkm/+Vo2fPJm8SnPdTsGB4OCO5+AwcpLjsviGGBHGKn56obXJWs3nxEVj8wQ6gDTCDrgH8nYVyH5H7G9ZQ6kxp6/0UNV7Tgeo14RGbXG7JZrhN/weimN6ZiJYjDF/I5FYaEwKrCSWt+wqRPo7R1KmiBlEWPX0l9XXAWRruxyWj/TOoLIlN9g9B846f5n0et9VW8Q7LRcP6Oarmp18WzlVVmzmxzzNFbCZur3ooC0swPRfV7SihrZoMwidByJSkvSDWwpxBFq6Rj2DtiHNWHOWZTosXQDU0M2xdw29d/7e3ng8pv/AAPSWTI1VR8w9us0U6Y7OfJ4dCPR6+Sf5YkDsavXzAq1L/yjyf6R9P8PXCBkg5YIvFEm029/naLIuGPy+I9IuF6l1SJ+GoqcbxIfFpm92XIsWX2CdUlOrKUOIkKTRYP9wrfvyIrWS1yVTksZZOLfEQfVIa7KwdVY2cflJJfZbLJyel5zSgXN0ELWQc7J8pzd792D/M83JPm3rnS3LWF9BLyqcv5EyBqfRd0bUTBPuV0QXAuTH0L04SmqOwe/iG4XNa2BoKQ4ExyLhEINDSX+OAEkoUeWOM0VaKLKvwBl00oeTU6+UXc4G4WPMhYQmcrJbnuicrZ+aicbUMM8J5flMGBhXaJ6+ZX44RSluICcnTzsLsWzWO7QHAuint4AHeZ5bcOeRCDEeTgykyfW4ivVNFLaKJeqlvH3AeeqS6OrS7RRTG3a5ckhwwmEjwvJBLdpWxlsoXFO1lPuASmTvD/ugMvNHkviH8U78H0OxDd/g8rVW32//qBx38B \ No newline at end of file diff --git a/soc/text_renderer.png b/soc/text_renderer.png new file mode 100644 index 0000000000000000000000000000000000000000..c36083a5647669a2fde3c58d79903620fe65a611 GIT binary patch literal 65692 zcmeEuby$>L*Ds8t&Va}OQqqkyqJYvvN{+$MAuU}J(g>o2fPf$%FenB?r*udTts>Gq zk`f}_aQ2Ko=e+OpekcAu*XMP40W22h_x%K=tx866k%Wkdi0p=%(k&vQ z(>Nj`$OqUN@QIRYFC6@UdLVDyfq{SiFssMlZ@8(h5C{H0u}_Yi7ZDLF(G4Yq zJ3gk%FVBuyEBl@d6?`*lInGvjHK3#wZDQzH+;VvJNvhJ2v&9z}orV(4X=()52iA8B z*(zG)E#F8h9-k&1h|@Q}#LkYc#{E3mbLtf+^2+I2VR|S~km~9_F^v7duR-zCz z#J^t+0h4ECfWT&l!$=hWc>^ngIBZ>>^~V{A28=Z^fgKSnFAhcEO5xOxkpDI=_<|LT z5hDMI41C`S9-DOmQjh8lM_#(wO8yTa5Gh=Zhso)|jk{3&o3gSVDq%!WG-qRYbL5od zxqp=wFL()i2!=`5dh24c+n1!3(EZu>K>KQo+bm?46;@dTs(d(i*Z z>3>7&{{xRgH68BI(8P(=Wf@O0pImv!dQ>pHo72{-v9dZSR#X-HBp{AM5@L*h zAl-i)vnBEz3^a=XlBFdUy71tyY|(!ZA2`bBv! zJ1no&3kJxvPWUD49N$h`n`d&@@LTIdvy6T7KXq{MxvesGWKzOVrp`J~AGyB5P_tdp z&@fSS^x{aSbuogWCa~Wk*>CCTw!E~L%VAB$(b1E^Rl}s9$S!)~BZlh}E>~o6uXhXs z5_LrblLq|^2J5#bOZE%VM=~o?Sqqb4GPnb=X&6l2oE-u;<<(RQz57pvWm!Ssy+K3K zmBNW~;~5Qoi%f>!e+h3-nCaJ9X{U)}jC&@XbhF>%tkJ7ZTN)nwRrz(>SFw~obdQH~ z!w!bo$u@J)W_DYPg5oF56HPDgxSaKkUw)+Mc;9=pR}ej$(##yaX7sM5z5j-aS`I zf9ju@;Nys!I`r;APKB+zh$H8mx4p50u|`LsgIL3WxWUQo<}CxiLwRhe#olGiVVhUC zMBcIC=b_GRJ@zf(y81$^Sgu@kCA|UldolUc-vi@`j$gDR1#6n-}Wt>};KhV-?B_w7cJv>tAEIQSay*I%rUAoV^7{ug-0G)XUHu zY~)Rpt@WLRPED?q%a!B`v+eKGx=Wk!o@CKyn{js^tXtRB1s+D{H)XOXo>f(k0Inf` zw@izBQs94B#)4oO0Y+_J@A&wX13N6G*HQN1fT~fQ`^NV(2YyqUY1YW9RJl*N71OS> zT-#ITt9!)T`Ti+g20vj2_ zZ&5T!avaXsM~kCoXjL$3wM#nE%j45wcF@hPugHF4h`_!2p5ps7DnDs{g#FJDSnWPZ`Vw#j3?U|KL|KR1}3Om>aom*WzkV`&qEIkSZ| ze~hvCQO29C;gL%BpDYy!YzS}qlp^Sx&#BpFMttvw?Rad>R@@B;5`J`!gnJ=?%slJq})@-vjn0jP6r&ll7WCl)FW8PQT+}x|s-3fn~QMpHJS!;kf6t#J{ z9g+E(HBl$@r2-pKh%SuN2U8TuzDl1fAgF-zQQ z^GQ6~+OaUR$@DYBHgkE_WHa3D{mCI$C_Mh*%cPzucDwaPPEP~fbPvg_Nx%Z`ot{+K zy6FcyfDz3btWBihm)u0e{~2K}sIxZht)}uc2M#mWP>(xNpwfiJ$;t$Ay7|?E{Q=?Y z*x}-fJG~RZY0JgijAnOi+V#1N0*Ak?JSAgGbg-`7_VI?)ZyOEq?bXjtcm-FybW$T+dAUf0Q6Z(8b^M znLUN(&oqx6uV8a(ihs8ZwbsX+79g4YZG7zKOFxd37f}1VO-3jhdEYXq6k6U#J@c+8>b}4e*K*&Tdv7L?_ z<8O}KkJvdvoo0N1(qogo^YGl%6ccPA^I$$rZ*HYD$swbMv^4PRE0;U>+ZUGALupBd zMO)1OBP+_358ar&`f4uBePttmMe2EyT=^O2lV7DPYdv+R_U!~KOQy>%n~b`@OSjm1 z+GClI8j4&Ho2PqKR~huP?pVa{FvMC#*jFy`jW;HyR731Jy0fA1p^2gBPtxUT_}d`G z!GZ(7Rp<7XQ!(CJe*QN%YVjyzOZ0~M4{-;`-Z42~d}Z>Ee2}ii|8v32Mq>5999y#5vwtp}} zHa(3VM+;MS=eXFddhk47Iy!4Oe!ZP}eEF6H=8kX7V1QKEvGZ3I$j`Yp+i{=INvPBe zbf`mk1+FWPxu~UJY4pHw?7iS^tD%Q^_QI~}%bBB-K?_rpQTaVhS~Z_nRt;58 z$|@f$tRZkx&^(8P0+!r@qnJUVFA??vei|%q&tMJ<1s*fxFG4-?bi>v^m0mpg_evFn z5hDmaY_?DAgK8Pk*ZPUH{GTIbzNtq634mqaymjMr#V)8MeRB1@t@C6yvzTuUtsHtd z*;hQjwVnD|p7TnfL7n*xi+7U;SDj>Sg1DM%DAhkpbzDEJd2>6TBzZwjXTf_~9qMh= zz59LJZ)HnWJVq8IcKYAbye{@f9-6s~FxduWj(lwB2j&ol>t|MOIYrjLbXT^>I(RRi z8QA8`u&8*oVm#+*r6zCR)xJZYx{-_zE?N{gjUKB*d^-HEt%VSFm=$ZHhUc*pcG8Gw zY>2Il#K5S07jmB#WBh$hFV9}bB}p;AzL8;7&F^q|uFBcQ*BeV2a+JMdTTU`Q{&p>Y z+ox_&IeXLWo1gtO&T^$m?m?g8y$9ddOt6#%x*!3)FIG|WcHN9|jATD~bUInwMZM+Z zvGG0S_7@Q#ARQkgiB6_@wf3^BPen^ZFB_~5)_|C=(e z-^{ycmA1C|#_QJ2Is5Q2INNXeV4ZbqDr%|{bOUbwg$w%jP|hynT_zcNE2lZflwoD4 zKzDh4l=_IhF*d<;^}AUIKw4e!m;EA*PlGrck_HOg+pPNL6p&%%O`VSTcMrkmA|t=! zU(}Hd?tX&ixbbcFl5NrPn24Fg>Tdz z)t8fXpUtlh=pAeq&I|0#tq`q>*M+ZB=9paTVzxV=u3JrxOU;1pksFPFWRUlZb685w zG_13pu3XlO|8)ezvb-$5Q7h-P)jP3t-L&wft?uaL!kLVHize!=HCOESsbnFLWB~%> zCb}xS^33z9`wN_H*!ug99YzTdX)>7m8UG_2DM}~MROtvU?mmaQ(+&0}KhuF+yL^G< zseIku(i|<`hPPLrKVGTe8hFlmtiCW@KjA1W{oeN`w$nPT#jn9GeE%*w(|QZ1!JbuD zUw*plg~v$v*G++THEIBOCbyo!l3t zp?89e(JM#Q+bFoQ@KrX|*7x*Jd=IkN5=R$W>&gY}>R?AurfGA+d`>L6TVDM}wWpHD zhqo_%{o3$8SiEFtcO$ZXz_(=Ztc3Vvh=yCeZSHw!0&AhMVIzoRzNd#nzi!D*d|%#{+AfO&6PLxRi7mSBReJX z7k~C%zhu`}IGmlSFkWGeIEq#~?&DY7@PFY_H>vXriK!%K{8vW!&D#1ZgdAabnRIr< zLYe<1m$_V9?j{F(^b?z`m9E6V=4fAat(wDd>&3vq+$%y!J?K@7@|82`L!~<>qq#;D zLMzIK-L(gFKWz#NEBRKIz0f&SH!KHCmv{^VB6RObMX3vD>G-|Za2V@a%+IqgulLMM z$gdw=1@#o4r_EIyWFK7g$YIB0v_u0*dD|Pk_qU*2u9fUsJV*7Hew3J^FaPT_wfnDDl z<>RWKidz!=iA%Ou_UR)Jx4X_RcYds;-6UVl%v;Qy&dz2WkVwe1yJ#27b2QT?+_mo5 zbMXLKrQ|E>6}nej%=L9isbnEdp6QkUU^3-T8!|y>$Ah2 zie2wnvE?)>!}+2GlHUb{>YCkozRR~wd4DTE{cxj!b=TXkK=<=LrA0;9GN{hV-zL`Q zr0>k)9{8E~nZ56D8Q)akuQC~sh%~%WkU9FevnN%e-YKXYVrKmg6&SjOVf?!2LS30{ zW~u&byEPzyflsb?+tHI8lpdR8Z|X6+1GGXs27|eQ0XN+gv4(6xRIB<&{wjq(G+6XD zyNL4ThjKlSkn+ltaeNZGS1RfS?{isY_>DjEO#w+LUHii6|KO`B3POr9$Cj9oqfC`@ zi`UznYfg3~H_W8L?*8Tgdc0nNVRF_~O8g9tcv~J{<__vm z**FdO8Op4*C9}S7k1(qHwxm&E-&%oA(4f2Des7hfA?yOK{NjFLU2i$lleUc@(`;c5 z&S}JDE{4_>J;&x!wT3?P0>ygU7n9ZPcRQT-xMh$c8h86Qk-Rm*#m4 zm4*K@^8KZcrCb^J;*P|G1yI9}N3ggjA0KFi7Fp!T9@f2oL^69meBIFdd|L-3JxFw{Lj!6SF6b`LA;yO|TQo#pOrW$Kx5?g(N&IVb6Ku-^Tm ze(ZCcZ@u~BK{IX8`nYc^N%_4-(dF{)D zPvFKI^mT`vGM{S7>W1N?$V5^c!;{X9$nB>?f%<%uN9C(ucxcN8>$TEqcaX4I%DE(? zlTvd{CyCcJ7qE{O|=4An?U=nQpRg}Gm@w1eCx zou!mN@rM6Jwq1{w!}@>8-4^!F0X>LqN+egDLl+tK_?O!U*RXaqPiqXvYa3~t0^D}y zWb)nR>=mR=W@*1s@jP8BC^4K}R_6tY=sTrb)KAh4Z%&}gTs~~0k~6hYL)%Ov4~@N> zbO&N?(~d8HUNw@qGWb=1Z)AFOJVw$pXfX)QoBV6V<<3;U!3s5!M3!t-OF^=!r0shu>HQm+U~++bnNi|JR( z#SOFvmk-7c45@`Mqty8p+b3&+i>e1f2Yyu!)TN^b(pEti!E9YhZ%R0C?U?E@+U#s+ zZ2i#Q4s{m7&r{E|!YPS8t$v!`P0-K(?ohCS)cDlx2Idc?x=w5B2<%Ti+70wO?2OZ>o*Z^b9KV43~ zTkB5icXM?41N+UrXI!W+ZR3Ym!cY@7nVJTri%n@ztsO#&6fY2-_1C^+%Ry! zR4tAdTQ88jLmw>id z(ue@9jmvIh#Vg+v@Q;C|9BFu$$Dl zOuKf+RIxd#3wB5Mi1@yTjMBV&K;!*M4qFqIL$X$zM)UA@G~q5a*wA)O)W*;3=N z$o2lC&N9&3a$nrYkQ*v%cP`(}PElh`Mio2@MHL7?oOyWYrF^YW7I%)Wn+7HBUYZN6mN@K>P9r+iKPyY9=9Bc5wGdf^6z zKB5GXc}QK~X|g!)@cmWSgNP;Wn32e4&|TZhUuMnM9IBw>d#hh(xuMVhrJVi2L}1hi z&Gh_43VCTei@wd~vmHg)>_y~(;p(U89NqQRCG%vo)B&W%x%tN=s(gug_88|xCZ{by z^Y>nWCFqa-qxY*|ct>r|J&S1@@kgV#rB7oHV{H0vr|GLV2E`9r<_nEDt4NR!l3D7a zP4Lr_dTrUj4a_pF?C_f(*T7Jh{~8oF9A0B&u4$nvXKKU zuzTVc*a6aJ^PQD^e^OSKAGJ(gapHs?jJ^RK(AoL+{OWvD&Xmda+-+HJi>is_sdp>a zZK9mD-=~@8*0wFyGa>IwIBiNxniDB^DO6lAUqSSZ&0YjRIsG)|uQ6z8)mk zo-s7)(C28H99M0#70NX;xO$W-&QjVy`IiZtE0M*D>Dc7+dvv(QW+u;OjT={Jji8Oc z+dKxgkqt(S-$dGjt`Z6^8KDz3I~3$s19k#@$VsG%?A^W6n$GYhYi$|}@CUo^*` z28<%Sn`E}2;d9^d6Zl-((947AmqJm)Ppx@9pAuFzLl)s8CtBjC%V2udkyolJjgorR zE`{NfE2YlKip7e$l-9+<&_O;Ur@`-LPrNB`T+)j+5zgTS(2uk}r#`S_dA?H2M|#(mA${@Pnlk6cg+hzKJed>K!jC9qm5={Wu93naqu*im>8eX7txfq^ zK~*w=_db6G-YPGNlxS{Do(CUrvy#w?>tAJEa?&Pt(NWYUK2si`)ZKnZ`06{VBMzwWKWF< zNK}~n(7c}ZwI~1tqB;HFKxkydFPv&eQT0^&876V_G#N+TqUcUIZy+)Kh6ZPyqtw)H zFi7H}S9TN@CX)~Ko)unc5*2e6q{0QH=&RAvLAqyh)vUKqL$L|GiaQgJ|8&c;(6Q))QpMwX0>0@c!A3IZ){35MJ;>aZjC zt{m@>&Y=8Ro)qDjrdL*C$RAnW+#o2?qAEJDxbExb77zgnU$FG2wmEqGBdDU$tS&Fe zF}7qekUlOHB~)`L(`wXhwxjEJ`=Ge1&+iIZrejd~tqEbA-chxJ5$T??8LLTGZi|;5 za@IF(oWHI;yjlI5B#aPSX{e@bOPNYu#iD*zTS`4YZ+;K*yX9j?P{U@w#V0Bnec#kN zAsLSZUFgP)qHk3Q$mc;D$l?ujxm~|5Mi)Lh@^n@aecwZYYbd)!jd!y3d|a^4!CYg{ z_KpyG)Va}D#?MURrYC=MxmMSB-aaI|RFVI|kkR<);!55`V=h|Pq3fL1hl#to*cY@( z{m3c?RXOc7V*6#oE5%e(BYC_VHAA zOn(ejKEbcD#6o29xa87ILLGo*OiGwE=A~b={SMmZJbArOm_{iyzhR6_!hf{Ktd4~C83LMor5^nIk4Y(^t z-iDCt%5wsNDo!u=Q_lttIkry4$~p4NGV5)^HK{K&l2#O zLuG!PrW;lxRINXg2;I;V58V?kU9T0fq`;Aq^8SFIQnO_&%W+Wgo7e6wJr_K18FN`B*Un&~`;#fty#QH%dbES3z*T#)vkmPK|irJh9fV!HIm>bLX7}aWE%JO0`4Wf zWBaY9x94dIXf{8Kmr3h!T$B)vg5>B#Kl7YR5sqXd_RS)@l~Z=1enaYv!YGMQ6nsQHB0I&4-ZWB{zcq&y{}CHY)zYlYWu5+$8qXu?dN z&21W-i78;LoJ`aheg_#!1Sm!sy7O_a^&$7BQs^EAZRV0hJ;wwIK}`@-YJm;LR{*`l zsW2Ad%VT+KXzt0d<9TFN*9`#a<HymZ! zGJl?j6?GOk#^Pf!Q@rD=MK>l=rE>;~rzM~Y#_mczZ;@3<`MrCBpThjOhO*)U`Fdxc~vMzI$pE&95B>1Fw}Fg?9&8RQ({AH_1Sn(;Y`jHF=-_BL0%H5 zrBMw~%Tws}W0BicI90W!5BkFQ;5u z4+tTh&0Yex103mS2^N2ZFh7)X0;s-HFzIRc->+Ij)c6=J{Bzz;Tyc({okHif7hY>i1(iq9rIJ>UMVNcQyETRi}@*4o6^BHMX__F0eVY6 z>F?G=e;xJxx`B1L(0yay?wWEt0E8XbF^+liiG^U0O-|HopN+-^9JP0a<&PIfSMcQv zA~QH_edW9B;a{Jan|d2Y$*y3eoh*wB?m!Ih27Vai$TQW?O{h16&0bZaXJJFZz;1OU zLolgSSb@>#z<+AzUV5W>S8&2sE1SMtuphc zDANagLq9UL3lbslZ{f{}ax(mJyh4On+DHP;1%c=Xz;1}|XmJXv*Z*EPIXnU%VD#gO zkk^oVk?%j=<)Gj-6`5~#d97)Yaue?A&P2WA^KdO@-zSeAP5?zDyU2oVX*p~*@ita8A4*E0a#nM5AGVe6Zv7f6+-+R^1)U6V$F_Q0ao;HK=v+67!HgZ@Zf z;VVTS{?G)4{yl1x7P1QBHX|hqxCE_o`8?2N2uR(NRe9&d;%P%NUsOf>Snpii^L-&Z zX&AOnnf;i&6e?LolS&1f4L?Iu`P-a)BWr1e2|xc;!!ABT^|DYYQ4S{64 zj6o70T(el|tsNlwMIT_~Q&C0)a*iVjsgg@m=GEbn{zeSooaF=Qo~6J=>8#@zrF#zR zG6zdN))+9-$!d3PSs>}g2mQErf=FMLrsg|@hj+YaJdeM>?^@!w^LXCmC){7Et{-ip zCO`Bn!l>sbcP4Ds>i!cj?dNp58*of*f8%!=0%l*Un~(O{tQ`7fiT%13+Yppnai(;s z!`t7+&x~PbIAQOWNQoyDjB0*~cn*-OMnc$`8&v&AE}pmhmZ9#dNu&+0H%OTk8jKS$|TrqUA)_$eIDl25UE4Q=Q21wg$_}I-isiF z8S%d+i_nyxiV&Z}=GXTwwo*m2IH?gf=lLLkwt2!>JnX{OQ#^k#5a7>RP|q% zU{Z8X2$qh&6>}HO=~}?#4Jccks)LCT&$(z#R*g4Qn4kxvgqMVu;p}6DRgTQ%2 z(QW@uAiqPeA34%sq}O;YnDHLt4#RtlFi%?7uNi5NX>)9QqEK9oD_df6{0E<64m@UU zp#Oqdx-|L1%pUf{SSIE+d}fFe3_*G9ozh^no1Z+D&H{{I3d>Y~+(pFVRc@InI<+fG zF4KPIGs*hU5PKX)V(bgk=&Rx(D4wC>6`NWvaIobD1wkXhjjRxUyk!0ekMjho5BUj2 z_RMneVGHx3f{w3Z(!&c3R6WwxRx9_5TE_cH*7XbqnP-$;ic5IU`}b4!p}LKp$iGWi zQh(@yk_P;`_U)4xK~Wd9%=_`WkLo2$$BP_C2}A~Tlb9VT$nL6#HPfOCN5`>Pl&1=! z5jd(|6-`oYc^*CW5a{j?gAj$*99{TA)X(IC2SwgvXurb}qND!PAXUYaECtR%-Nhf& zC9EQsh z=GFcKTOhz8*yWb(B5q^@mjdL_C!A5~d~bi5_rJ*eq=J z_PqJRnw%Artfc2#nSDjpRbmaW`N|LS>Kf1)6PVbKZ({E5Q2dtQ`9oZ%Z-fFuEkVAV zz=dLL#U%KID+B-wP>A}{CB1~8K6(4odkpy{p|z@Lw#1!a<=fy{hTvJB*KIdn1MzsM z&qu!x1{oF;%PJ=}6oKRKa5cssv5Gqb^aRdKTo#R{zMaQCbYy?NqFOYXbZaXVWop*F zMh6+Dl;`ae_oQRa06Ow{vk40khuqfmmzF*rU1M?88Tp zNY{g>d|KAxjEpPv6=z%n*e{+-$RlSS)7)mX z+e%S8(whXKXX_FX5o|^g>|4&%zkAOUJbi#dj0jpm0jiiY#F%uQQ-633k1GGyccR2K z^OR|9Q(8wXW+ky~G@8n%;{4iSvsJ2y12z-|P*h}S47LjxP?)3T070kC`c}LtT6S1?PIosm?m1)b1_Xg_nmXH75n1yJX>+2{wDpcB{Q-x9-e!*J`F!bR0(< zc~}+hFW4*=HBAS=NeM1WFt_c$0$(_j-T*UC-L9;$ClEspURk8vi+#R_M;cLE+Q*E0 zPx~B$oTg>{E}QYmewTz1!#I`j3Y5lpE?eXJm1!tD$Sd|~u&|lB{s^b;a5MdkO|W%W zi8C0*Od(`4uLA5*`S`AjHuRCUr@vX$?yvwq&O_bI5zlIIR|#-4rrM>l+0EdmYZwN* zuic^R)KjgJpu9MvyARwX8>lQcG)a2{44Gj&R&<>l->O1-PJE$VBBYlxgjbQaR>m|5=9ul$wba&$ntc9%z2Tb|81FyRF8{!Y} zvJi#51UBMGoZl_peNA7ds`^pK0lGd-(IG|2P(_d2>u97u4{}m457H9Mvd(UA^(W{$Y!Fb>Z# z)ocrc$crjwh>jHbAprRAHh#Ab@x(9IYp;n<)Z=IgDyqHgHC|2CJvD9=En8lN{({%i zxomKdN+C{!0)p6;1L9agpcPafa%HNo5P?8Xg!qw7hu4$>FNjT@Zj7M3XN|i~810M4 zmr56MzwD)UUuDRi0(#P(Iz;-_JN87|3j&(q@_02l^bsBI0EHx+N5C|)mh9L0flrpG#{HTVx@EDSw@&0JIdR0=jseQvxf4z&jsGqwD(=1UBo zdg~PAEkc=R@0v$ORxk46RZ3@ z(19HwR!XJD9aGD}m8!t%2T@-Bksfft6%=n>;C6pjRuNes#@Y)pb|`BRJ1Fka(Du7r zz~I87xw}`y@yr!3iS$)PU3n-!0j9C>)a=q%4&Xb<&$@QwFM)eCPelwP-hukfH|A#h z{OLc@^dbtMdG!NINIwjgrv`UoVIJ$+?BGtG%-3}K;^5Ab=_qdmZzQ<$=3gx@?m`Qk zBg~}pqMTGY2>glQ1rF%@gQ86i<_Kb%Hv4ON0vm-(w>*l314S|V8%M}HgQ4*`smdP~ z0@aTc5TA#G6?GasgReQgHUZC*ojjM$JO?LTYL|DvGeINS0bK7$y4lcyYwjLOf^&rn z3gAn8KbX}FU~aFifU}DNANg^%{+)3^kVgt1tzci&!9`y?rgs>@HLpPzMC2kEU;rhC z09FBkk}IIi3kXDk+q)ufehXZa@ZA=iqs$@)SIL&y8A*nKHNNd@lR2*F51^7bhrb8G z8llKa4z2|ygC{@XnSE}D8hGybPTVXFlb>=2Us|049uMY45AZYqU=sT%HH1}!3ygc| zhgb#B&r?+ITVhB8n0w|0xraav0pO|~jPhtR-1y^R4R3I49xpjjybvo25X1T>j_4r7 zH@tb2)Id)VP%4SwK68r`sK zzC3tQ6LfB!6G#K14zIOJ!7hNVKx@zO1OMI9zHQ9H=YyV zli0WWj135K?%|_O#JePLQGR^;>NNs<>St3C{~P%9tn8}&y%*sB03`%2Vr|+EDE=&X z1apxcNr*o{%sfNQGXYh=Wx|&-*SHjcjCf~ZL-B))@DAhwTec`Pp$k68k2@v*niQ6( z4W_dRn`Qx-1yDW3EpUGIDJlcWY@yf+Cc}STwm^|p0t{27e9n&pXg(H}T!3YB)!W!~ z81#{9y!j^q+XG>(j|a_V6bWuObq}02IsG7?b5UQZVkt8iwK;WhI-o&E27PZjY5ydgaYc$X7_^&-SwFR! zLm2YTu2(g69D2LT4iH2COXdS+3sq=(EdXD>iw^o!Y8<-P1R@ORUwZsbEK1LLvb>7* zZ?~6hZSgt2d<>7T3pYFxOE0DLRm?D20eN&;faeuMu8PV z9M%d${cyhwnGr+oS#`EIBEWka+}DY~+aT~&i#Vpae-~J7TFfS_8fJHpBx7j&-29jX zOW7TyOPk8%@}}o2f4MSCkQi%lQ3?pv#bU(k^q5+Yl70k46nIm6mUq z%lL!z5nuL3dl-}}i{XJi>2quA(yRT?1=8}+94V#Q{sxA>WTD(#MNKO6a}f!Tf}2iJ zvS!MpbO)WXp)pa&;sCgPnuR|;rKuB48vesF_;e?Lnhy5`x?6}4ofKg|uT9V?`%JP? z`GqxwBhkB?BvrxuA_Nxo+12)oB(wvlOY((sAz}e|c`XNTDu~jb0R?2rp3#px2L`u7 zhOnKcSg6h&^WNJ8AoA!81x%q}@RT2f2z>$3+^u~jS&qb^v?HGkD7c=pIhX>>krvF+ zgm>T#C1mKbTp#%qzfmC+B*e-T3#DMB!)O%|xdJ873afp`*#2T2#wzff_H(KXdnTxX zdxQLSK#J!JQD}q$_hkTsI;Y#|{-*Ni1S*8SweDV?`LVj60$63@DU!p*5%hTpilmU& zKd1aG@D{5)5b14P#5a^ExI6M;>=L3Q1`O#;@p0lYUh9TQfiE9>eYy&D=lta+_MkB2 zQRcmN4UwSRa4@^QM#m{vSPNHh5gl06h}8)82RjHQrs>EaV!--0;Go+1`$ZqqKvl7Q zDHMxbBA&WcI@+Oo*t~jCTl~Z($WLY1DiGZjjS{(QrHY4?Z~Gk#J@h+1!Tp$I3f6L9 zU^ou>oGxQLFZ0HCtsLq(0}{}CAr=cMU`1pQHCup!P?8A`DF5nZ zODh-%TkfC!9hwDBiigsTB93*GAPKo}gLMWhwe;^?Y%(?~F-|4D7PfB89{w^3m@V(h zukdOupd;GUkq=3vKu7p*K-qCU%>5Y=a zxNDjj3Dwy%Zr~)IJ|YD?1She@ffFlt;lR-abRK}l3i}@}_2Br!XFWZ>%i)cWp%w*v znbh6n#k5bgZh~$oi|8~R3FHXQDzI(aM)3nU2Ll_$^*%UhIy0g~t7WQ17S3Sz039l=}+>DhANtUq{>04Z$@ zFxMquCY>9Z#Z(~FX6Va{ytRX{h^{hAmP9y{dk|KUwza`3k`5DbTZB9aD9V(tISB*Z z*ozjX2lMF@u}e7@VhDyAy6AhDp*VGYd!!E%cXufXpT7G*EDQN|LNY9M#z;0g@qOg) z9*k!<@M`itED9t*=vpQmrU?=d|67OWK%e#Rw;YgyceR-M1iJ}egRqE4F<-&xQg)M< z??1r4A_WklQpv!u6{Qn3e+T`SjtHW?XkeiVi+k|^eo^Q6wH2~7fo81Rs0-2TcxC5q zF6CPhpH;{bg4{0P+qrR)MbRD0d>bgckbihhTndoKaQL~0Mu59PS3(}&tqNPeu_h)7 zLVX0#I*VbMAU<{Zi@2?h6Yk%U_rR6?`3(`d?!}vyFd)wpB_=UekxZgy_7^uP3C4fz z-z}rLP zwJF)~-p=zZGUevqQ5>HJ6VkOAeQ1FL)5#V2`QJ`)N0~K8clH$=NTE9`**m8S{IenD z?wSSOPS-NY|BuQwfOuc+s!z?kzx4H}-w{x14EkX{(29=a_mneWVHQEt8TyQ%6s}pZ zdMkX|^fBx1WvD$Du#Usbn%{YR>W9uTV`&BqDGXsL`bxfXzhyTj$U>3XVygpz=bl|+ zD<#+_2q521(P$;`5T^FO%a-vePS>8D7OMlFQP1#~0zL1mX&Lc15WQkjW={9xz*0=c zjWW?vG%#4XO1)+SJ~mz!V<;?PiyLX-U~r`ajk0JRfF}RB=oA59L@PzA@gfve$HJq_ z^0%O6&4;d7RsFi6LTlJ;z>@)H$SX?NeN)N)Jha&baHKide|tgfV-; z2DUgh5V|j)yU5+n?kcmS-#pac{FPr3{xrz{9eif@xtIkBG|)-~99$>a=*0&T63oXl zFab`)VlA-svmALaW;R&j4Nq)&Gy)>#5RKbmxO^K}H|vn}NnuU}R<+CC+oZLJyohbr zzxYsI7*MUW3T%N2(gX{;E)3c(q;MuO0{@e%v78V8yO9FAK_Zl3hVk-Zn&YQ zRWfat3LySDniLJ9Eoi}mbB6f2rmjpWcp1!#O0M_%tocix~s$kL?Q&d^MKq;#c`rIiEUoSGU;cvJ4f4e;^ zrg3XUYei7HtVnea6*|qrVh_1iKO3FGL3|V|*&OB$w;RQIFbAD59xYgJ#INplZ*Tuz zoe_R;B_o)itUYLxWyec=PDfe6JWB-u8=GDKlKA&GQ{^c^K<)ixMM8iA)g&99Do+y) z8$wbQZLDq!QQ#Cm=g7g%aTD+$D|x{a^eKVPm|0^$9~%pTt=UT^Rgf`rfL~eYB|qk9 z0v*gQw3G{efnF|1+B790&gYdI>uorDEdqhlfC~m*P$H!;>FLeZO-i8d zWQ4MRusjzq1V8+Wvlrl+iSS!5oB$fSUO&{sz!rwMtKZMZqlaHfU(nqTk!OTpdP!lk zZr>FPj|fhFbotR&1Pm{L2&x)Htnc`WyZw1aDLy=ybcE6~+C%8OS+Da&HpX_RePjqZ zM9$MoYzXkRN#14-LCv2ITVHqQP>sc>V2m3yX{7jq6eZ$z8j=HMCoI#vJM^%TEH59L zj|rE-MNl%H>;l(Z6SQqfgxf_WDdstsRhzJSp8=T;<8{Y}jn()e-Je}L4VfvWJw4ZO z={2YL7f{~SRy>{-hF<0ddp+8kK5q8o(N8(*a$!VrKS~|I<#KMkX9igaOKMJG#r}0H zt#HXjjC7ihh26{Fpj+zB{8Gye!s_j=8_Vyb0o<*L+f86I_?gcy-1RdD5Dp2k`C{@8 zZlxk*X%B_W8)ilr$mD9VdQY<_sy-$%L9#Obp0KMZ=ItOHA2+bJY@taSLeK!TN)j^jw52Za0z^c?HMF&%EYS{XQaJA>S(ZojUib086rpycB z!Mzuqol6AUq-UEJnSj5WIlyM1!5{&>3|{7j1WgAJ#eUn2u}f_~1{n;ux{z0QJ)ogHP`{9)%_XmI z8=GfOzMgd2-PY>|a_@)jNegtnXQ}sS?R07U^@*_ct?7tZ3by_zqWN&}K8kcn;`L!t z#KvSxDfLDqCqSK*z8eX|8f>1ybbt6hs1AHWuNmE@5UeX!l4NzeSr*;Qv zs5|+0h6C&f#W!oi*ip;^FXMal zJK6%6?wo?xBAnz?Ymk7;;~Zj29mSL zQwmN#wb7Riw6xPB@iNNl{uCAZ(?S5<8%MxDwp4uQVsxfd#9PQu zoqHwwKbU*VuqwB84OkF$5=xgycbC!~QW6rAZV5#|5R@(n2?c3MDUoiFn1Cpqg0wKD zVFDs8IluAZUgwgzyr27dh99?#v>QJS220FB#eq^o zzNDy2O4f4`a7xz0w#5?{ znc#%zT{M`Q(i-7Pg!SK&UJZ9Fus9{#p3z05;B&BwM zn}ZkBCKcg>{~-?!RMa?Fs`Ra%S@FvAVZd~n(eR;{7XGn_Y=|CT(p-*`AtoV2SWPxFvB9c_9J= zotS?Qd(p*{)BGSiOqO{~byA@pmud5}Ub4$yw6rKvsaKZGE;T{7@`^YMI4VobRXoa9 z7rutOew6~#6r9lWECni%>tO>qPzlCh9Hx6>Ex7Rg()$n5saUIFJROX!SgsOdH`f^9 zv?6UWL|x_&^^A*|7-Pg+0L81$vC6d){KGL_92Jv~_XgVNhaFdn;EXa--rtkarHKl+ zt^`8E7{i7Z0LR`!7vjG~q<$J^0q*0OkCjm;u-P-zCp6Y~j^4hKIe$-bW#?OnYpo&W z*5_7b72+RFG5;-lqKgk(QgH^sr2<$p;oPwMA86D-#QUvGsC9jDKECmbYupai^Cwd; z!1$}qVvo}gVR^OLX^!x_*QHkXfiW7y>ywiPn2`toXk=`+^XcjpzeUN#V$}IGLZPnimHZ zFsZDc2l9I^?rirHc?fYh!)`t41|pf?^kUC(>FL^Ps~0r^o@$Bfc@8x+Ym`% z0blZG2q5SWxq!oKiNW?~T1(E7lo}FRU{dm$WLB3Ru1=gid?n-O(~VhgO{fFO#%oF? zK^PYcFykBl!i@jPC{_qQh9g+sc-zae(W*WuAaIqMFYbK$p?N$vd12s3LKIM7(L zv(rrx7b)THr~j-u1D&7+3-q=BS__p{L#};nmt=`?vGNacZ}e6h&!6&! zCM$FmfxsX-1~Ri>R4lwoQh=E){A`}K3&jC0DzD@{L5w%ZX=Z>MSO_FfpV}`C30W)z zCD=Kijl*)Dn$4ajhQL$0BIlC(bokuyRsE{J7xR<=S~Gx~`@cK_pAF4wo|^p#^JWLl z>Y%1affDi1S-}EB zg4O^ve(FFUyVfG;0EmR{uU!W{nIgO~G|YrhO!oJ66Ja?~(I1dx@K7IAmcDkxaxI}l z5|yr3dZ8Gh?J}(BOyvTC*2Qp-V)6}Sk!Sh!?5_rj08_c}Bu^h$WB}Ce0H9VtAF~(? z)p7m2X^SbEmbE@txACcau7R^Ip9ea@cq)GhwG42Ai}JyNk{2Pn|PswXd*5WO+?0dLJRK`zy3tW2N*ADX~1+Tp=N)5AsWyZN7RJx=L zEc5I8pO+P($6ckU0f`5gs@&g{fM&c3SP)J4+*4#4Ki)5A!e8KX7yqRzuLqls3D0)7 z&VJTT|3~yWH=Cd2WLoEr&N-M|FGpEoT*6!c?t~BIX;Dg$U_~dH?%@UA02?kYlZ_1` zAi!MNxji31ssg@OlL0S|;uDrD(S|!dD${=@M#QgojPs}eUUOrnp)hk(SnC5I1NTBR z0vRtNbH-d!Q@MAL$@^Js4>;BLK;Gape~Hfr3Nv*gIzHC&1>Q;$&Y=wSl&e=~(3onl z={=`9oIZIW1Lh;KFazHVz%H8P3*Rh~w|WCKTY#$8MIR?1apUh}yK{R#ht-2;o%1XLBqC2S(#z%8`+ z9@;?A(@{_N=Rg7&Kq@7$6pw*O+yx>bg=2fQ8>*erxI|bo6L(SQt`S4Lm}aoQi&WxV z$hXj*46OW5vCkNlw**8k;EM*TzLWj$RUL}Rt1U1Moj10DXhBp9QBW-`eG0Pae^ru% z48D!zEYXF*f2;SA4{B49aRVEl&1f4yc#-ZgzNeCxwXg#l{{WOsL4oJ5kox=g(3mdC zYPxuQW|8yldvu+411}+Rk~VM+^h>K2#^x6gDl#og5-SLJs|)=3QeZL=9_!(}tv)D{ zCMyqE76`C%8kF*74V_IF4cYP#HyT~SO9FWOM#w{?IQno zw(FwiyZ`V>#Ng$?Sr2_a6WE$?R zZ^NJTM_eNADsBs@fF8^S8V7|^QOXzP1^yX;Vv9qMaQ;(%00cs6L08EF^X;Pt<+xy9 zTW>?!^*R0i!i9D%JRn2J{ZkbPXxA}?g{%OUlVzg)o!>)k5j$B}ozfWqe(R$Iy87*M~_qWWo}IVL1#?rb~gC zz%H}Vz5ED3FG%t_|3$I+KcepcljPCGYx#xl`#Qkv6hVdypKAkA@kPjK_VRTy#$6`J z{6;4`;av$#2fjCs6nx2FL)4*J)z5hozMMsHQcU!IcrjNa);y>a$SAd2B;*$)W4S0k0>l?NE%+OCC_ES#D z)3Jd~c_*?4#;d=C;5Qc2;{gZ}vBki(=OOM2;9I+33}S#CCLvHTnb3d^cV*#Hpb8YI zMr3{hxsDW&k&~fp$2stCT>K}I-uLL%UrAEw2T&Y9^wKlOW`F#FNR6nrOWuj6q3XD zAThJN`7`R~MO}b~wQ7|VlNv1cIhLXz*hMe!#7O5Q+*rs(-DA5e9E`oV+64?E*!^Mf zl}yw$QR@H+zRR}tR^WD+i~;!nEj@>+z>1*FR`}?aP8DeU_S2M*jXkJy{C_Qp+?x9M ze{li+C#ei3>Jg^OpTV)yO95N17Rh!F#EXF9ZoqCEpWm%?GAKWhD2e)#f&w;0pWO9U z=s)-h)QQ(1`MUK`h*}`954igaeklo%j=P`w=ol|Nf|BZ;(7;w`e;-`^C;Rpvkb%xC zyl22H5G03!lY013@X@Uc!Utq*ep@y9G7Nk34rHAqlsFLNn}wwCnA_G1*^r-e!v zi!V)qnIpeF2OZ{_ESRH{QzF0*C_=PCgv)t2q|7F>fw(kumS)XBi6+>$nPB{(F?6 z;O^B%=m+n~gTuM~o$}6&i^I{d{mO|Y2IYCM2wPwZ_rQNhnw&?LZ6-*zY$Gg^S2Ov^%ghg)&?#Lq4}{x(iF-PkcxhBM4S5 zs)Rpncd^qx9DiTzf=s;bg4=YPVZt&Ean~?IgfygEp&(f21D$F4ijab6axzxD7XuP9 z8geU)K86=q5+CcZrBC3%uPLRdhux$5>plpI5OBWt`2@R^FM>sg*bmjq&z4-gfd zV1zVimjw=qj#rfdGSEqX%O`RUc69|~Jg{Qjz<~gn%7kK|tbZ2+-32h(pSw4Jsu{>! zvV8OHf67o_A>N<0hmjrpXV?v)S{Sh0dmt3)`TD80`Qjj(MdiH#&jZ*J64rE}$o$~w zJ9E{LZwWyN<==UFzTf9lHUs=^joqbl$RA9+0jm}GzeQ}V63{+I{=0po0+pg)Fup)0 zYDmcdECI4`pMU#}pw|Sbt1DO%*hMl>cxAft?=~HfxSSly9{O+pRk^qbh3yoeBV&h< zD_6Jj5?)BBo16!RXfS0V9cWjv15O1hMf0x>KL>pxARrfNan5|ZRg>C_Re6BdiuR@m zS}{VDjhdD?Rd|(-`j;QaM*TABetf20#EM^j^n7!I_fDtzBmXj~cV*f)92dNBpYGfn z{JK89kV*DKWF-VM=q2tn&}1_KfI!CmFAzw3J(fVFRO78`uLRMbh$%B~KNcrs=Y~WN zio96rX+S@0NxaRtgEXs2a`HxXo%`!784FcRlNFD>w`h&G5mBc9wIKapd6`0Ies5*o z(=u{J$^W=Hwn+(hUUWxudY=*(jaMDtF6!*06tge$w?Q~o7kW-qzyU+)$7UP≠ny zp2;S;NtRe22eyCnqp1_`-MS7&VAI8y$-S}$=1c`LXV}lKszXs+iVNju4we{eE!!v( zY>C#W<9NFX8$^^+-{4A>zw^WZPRlL(MdD z7Wu(eLSV9KbeCHQ1)_N9%Y%UtVh8m02l9Yy^#8)dAqUsa@+)7cwJ}9@prS?im83=P zc_XaBG|YBAp;skjaVo)|V-v)lMA#cJS;!>fzfs!52uuK{Ul#THGKh^Tz<)-or)?9l zJQ1%_7oNXm)NDij-O`@*mNW+jOH9tZZFw+E;_KtdZxg#VU^=?jvVj~<18gbDPDZ)3 zeu;D?Qq9**QN&h`hD2W@yYE%T8`yP$Q=go70TSi~~H@GGDPyWDS;e$I~es)ifTf{`p zA3NkwJs3z7pTgCwXlNXrHbB(s&7AHw!lfVWixf4;u4Y%-I;V{_9a)~7#4K;qP9j+i z5UD_{Go1BWhCIvkHpS1YM5+Bc%|>bsBYQaJ{mrv{*rE-mot=3lCQ-9+{~6RBDx_vb z%l!V`B5C_=L+6K5$G<{1eK*Q#zkM~EZz(S{@Et*QmVn7l(brrzy#)tBO{s5I>u4m@ z7uZ!g{KV92GT4aJBfXP$?V>C6DP;bl+xjTzVm5NR#Id@1`wukCpREJd-$yt;TG z)zq}+qZIr7YNyqLY`q_4yEo2~CC`m^O&C_N;Wbm!q~XPxH5`P-oQYd@`>5Fyv{Q7A z>S-nA)3J?u;-1kJy^=?xRT1!44oiD_#j2|b9veMZ4rC7fMW(&gIj3v1jf(PX+Dw-e ziqKOM@ag9sn~f41mDv(Z_>)Xd^SL4shlG^Ab=0qO(zyx9_JPNhj09 zC1Ndice9&6h;$diPu6kq+RaXekYB`C_4^%o#=MH~$9d#DmCdXOIs9#ZZT@n5At}%1 z%=pDy6oi@xdwz63yL&|q#3Ia7Ad;rj!T^*D4wwMXGv;@hAJ^^9<#B~~Z~ehaUxV_> z2wnMX-)g1O(Mie7=bLfN!!!@}6X3iD-(xI%U+R^-e75w&yBrx+ULlk`m1$g+%(YHyR>q4qwtGv=77?|nl8Jmjn{|iC zuN?=CJATgQC9lqV-T1Z|Ye%}m3xx+5Lr=rOoRZNb5{^z|(-ww5pXOj|w|e?^LCiaT z@I{-$B+-OL;%>Vpu@o4PvCJQ82bGo=eprfOJpwFFIY+`yBpDzL?4WD-{Voqfurh=) z2+?7L=kx~eAc|idR6SFi_PaG>^*QTcb~p8~Cfktn^BFJw_(nai+asIfEyJ_SE56Nx zX8sDhv)Q~1oj@LUKjs~=@SpU&isr3oxyEaeMLK76#O-3F*p}3MPwQ);SINBoo7Pgq zKug^_T0}8Fm^Rz zLcLJsfuH^xi_)iGn-DYUfhW_K!xyGhmuBF!<4qN_=Te^woys{roffFwnjM)%D~#MN z8gbVd)B6Y}ddu$`2{OvB3`*!yZ79Nj@Tf4%4uk?#3jwMom@d+TBVL+)z^;pQ)tj_=L0_@C7sL3ry{3P6qlyq zH4T#qcf5b#rsG$yOl5lC|JuB2zCHWRZszQ`_= zZINTpajaAArtsFs^ER5sv%wQrN8%pqUq*tLq1D2{YC%_`;g^$ofP)~Efl@F#R#ZW~ zamMSQ*8UApYW2Z^Eo5{fMt3mJk`^)^ZEB9mji8fcG{{ z49!zu^+00n$={yy$Mx}?Tn5P!t=uhxH?3acrC<(s9Ri(chMa=8&pfVj>@uECO+{R7 zZf&+LJF#knD?4QFDB9E_cpDn6JBT1j&K7+)`rXL0gaPA3jk9A|dSm%u@r}B_SY0@} z6i(i+L#k7!)PU3^FLk^ST<*K)ee%tp%oN!0W1`&NU_o?%%ezP>S0Y9Z0BxHYwz`mG ziV_0{@Q2?qbyEg%#cuLqGfl5!@qr^g`huhOnaIF-rUrWnzxGi28=hzQ*=XS+kD~F5 z(;){Ba_`eu%SS0^`(VscK{I*6I5z`7|+uZ0N? zS@k7@6c$vXggpqI;S1~o9Lrn5tzPTUIP)?D+R!<-l(Xk~m9?9-s{Rx_euW9VKI?3Z z_dw*j;r&wIMQP`K;ihxHhtbSU=sG=L!s1R=!Pzr{#R~vYP57As4Mcx@k~`@JGD*mXS^yPk5z6v8KwFJV z_B^li)BN=q@m{j|gJ-j?zdUZbX)SCm;^*^{tm$(F;p+*>o2qy;Q_j9gwgJ-BEnp(z zhh~#sSDsPt+%3{{d_9`6z+356JgPW7waQXR`*5n024@)Sj&S_1OvH%ZVyMcdO6In#5Y9GrBK*oi-vLrqR#XxG{wO@d%DK7V zVR`#`gYWQ}b%PJa*IFe{JTv|sJDU9K)K(Q(+qHFI!c#LFL2@iGG+*t`#cenQp8du8 zl&6yimGB3E3Hlnel1PwE?w#0RzV(YL=erTOKjsJw&3&YUo^Yt{QT(W6Vlu<0eUhSv z@Z263_>8KZqff>(b$GQLmqqEmKk4SDC_kvGZAf|Wz$*>UB( zWBhF2uz~GX#e9`Yz!nI660-s!EhaGV_*ep;oswm2!KUAkvq0=u-eVh= zL0j(Qrx4bthe-(n}IqT_|l@uNaqDgJ(gg;O8w z^Xd=6$IV-m?Z-ukCfYlAg{xVon%j9zA^$XLjye)tIH=91DrSfuWc=(P3Mk%)55V5@ zhg66@2d3b!4qgxh;Ru!T00Y(>rB%NLL|eGlV+`1P#Ec@_c=JSNt(RR{W2O+eOu_$o z4TmLMc++hu=itX2+)kgpY||6UBpwRGXeu`g;nI)Y@dvfA z&%q5M19jEMmx_$`OR{;{svRRJ8h5z7%fEV;3Qd_Qnp4=9{hBG30uI61OjsYJSrvNK zs=f#MdZr(r0dnJxk)XKzN_3dx$>-|_uq+=MO0!;2;@nVtb>#e-J*vMR#0P&h-|GTK zOn2MS7najZifRo`z)QHvV+CulRk^7^%En>K_%1RofwI}bwu%O56Zpx{0piZj&yX78^twF`&frKbSs+jyUTE(SA5=Nx$S;( z0w&MN7EQ}_hJVVe8viMrkF+tlcuz_Hm))BOr?F%-Rh#=E(SDBQ#gEIc!j^j3w*7cO zV#%vb+6TH|)HaG=0wb^ZsY4I8sPQfcH$w>$K|S_Ti=~j- zDy7l+v{=lLYk z3n8Fj1h2h)R&C0OV=4>c$27t=sDA#MSrP(+fg-PjK=Wu`13_An1J^SO&|-E2rPkzO zq5u<2xEP?j83DI)En3S@)D9jW9ru)8$r6(?mc{o1Oa_Qp$f@1(2}B-$BCD zaummub-bm2+-eE)c=4>UEwk;az}bmYncYV)uYIYhN4k(!_j_*7K{B7xO8>E35&Py zv*?}F35UVTV;D%S${y`|!@=bg2brrBpN$O~I}K+(qo_+}hfsHl&-+k^C4K`aY2LLh z(}VL&p@o_LbH5Q(WQo)y>QzaG%qC&4f%I$fULj^jgt$k#C`yDJ74jKNKqqq_!p&3-|#W% zl!tZQ87Fc_>ppD+U8Zs%+q^zFBvk zwACXI4mY}!Qpn|AZ*>?X>=w!$r9b{rXFQs8*>Q>L;Qf4I%Wee8rV%Eo^|TKu}xjvfF42Cb^<$v<6gpYfcB?-G-zHGyLLZ*!z zr%s54NTcSkrl+o0_1D+|TdA_LZ^P&-c-gn64+*n_hf|Lqz1}7CFMpVm&{F!no#r%7 z4||y-$q-Sm=XiNOiFG1wA(gzE`DO5CPRfCw_+(kano$9m$e@^>d2bIdqrya zmUs9Wn5RT*?5p@xYjs=RmM?HtM@R;C7(-64mR0p(*coV96oTqxxSkhv_ffZ>M z5W4|LE*&f}ze3t7I^-BWMjWgqDj$Eo;#0kIqSxOD~4oYZ?=ef<53(Av_v;b_FZgOFp!1Am)nb8sPInS*$2 zi~XA5-tR1t=cGO0-X-%p=WCHMCq`#SnEqu>W&S;dwdr84|K`c{#qpp-kl{VS@ppc4 z6b3q$VqBmpO)~fZvG!`sez*gfR|V`r|2R!1ykIbJ67Z0jJ6%AfXBCSGp*4hhGV@{g z?)4Z?%&NghN$<~UHp}3*!1b0+I|Y^9g~UBIR>nnN?DUz@{s#k>O%hX1KOg1XF36@+ zZKTU)cu9vie7#pnqIf@kR`$n`_{-tcB#xlH>^+`$!;v4}CB3#Hze081f}BmadA61K zc4qQ1%kHx0PMT!n+r{3Kr8K6NZojxvwK++WX2mtSUZq?qU2&o*=|`R#y+mW?8*{g@ z5xwKR%8LmTsTvQnOy>+)m$ohG|RVOcj8#(zRv*)`RZw>*M zmj$XtXMAyPJf{G3Sj=1+5++ezqUW=H&k&!~X>M>)Xq>=GCJ$9lKK3QDkjS^c)_~p@ zrLo7woCPSRx01#SuzxLBn5JyX28pf3O!swJt43Tpr``4EYpjCnr1+jTA(CKAM4#M) z>W#HO#&4poXjEo;AN1Dx&D0#|v>}Eu5~H08c)9m($XjfV`MpU417af9OMjzOkDmp! zm9w#U)-my;2NUB6ByieyWKcm2M{5{4YfmK38vH2yHpauYMIhKJHit2>ylgNbWONc z>9|<>EI0e?(fIn6J+GBpj_c?aD`(EJn#XXT?NM%4)(bU^FBd49D|A^5Vs+0tOl(Zi@Yo8!|I9Sl$XAEGzt;+ zRHYGU;x0wxpS`-_xSm@@^Q~Zr4%Z-Kd`F}=oNe}J<(L)^M;w_~)kM-aV}%n%*8zt3 zOotBthnLJz+Sr@jFVwHijSt{~hVXQq`QCl>)vTs3H@>(*dv=A`!iad6%YmegMP-fZy5W)g zBg`xxnU(n8u4gk~QrARaGBm;Modsqe?v=}V4R0W^`vt^~dhJq{3k(l*`X7~J>qukK zX;B2qkmGb3TstgzUfD&wqzGHWIdf@P+Cv{Br?#Sv{c1DzkMH+78#aI4nc8nA0RuKC z|15)?BFquR)odqS$6-Mi#uhLA@a)(P!#4-VA8SJ9*joMKoO)yeJhSl3+B%A{C1s{i5wC<=JksdDD%d@sQd zyXCw^>YnZ6RHSD0o5bDQ&q|tf@YK$9qY)`Ja+xjRDrrxoQ;YHd7)3?=>JyDSnRHEN zq}?xvG6pcZ4Yut`hG`9dNxWpJeE%wBtM0(~cy&Jy>a8&Y;aLO1qemIJSCtJ8iWBA0 za6M3f2eGVW(TXcrS^32 z+ZE5>`;S(1;6Dn?LIivU!guguFgat-r*D3#mi>&z8x|UeCglXkxB%M`VXdN`!`kYh zaYP3MYgGxGOvd6RBv`PdLLgXH7qY&39J*A1Lg>A`5rSGx^s}L5sc2;`eqBaBHkcg@ z_@t((qw8mH&P?96OlB5~E(JD$W$qB=_;f)h zy@l0)zV3NyL4|b{I58X_6S<#_#@)C4V6^V zw0HMsL$qaCQgt!$#AfW5!!RyhE%dLI10AbpVoe$}ex~2`PGDJ0e#>N2@R2V+{jAFoO;K!og(&^Nd;D}ahojHAqOjq zi5FFj#?4meKCH!unfK5m%UJ>D1c0sL+6x zmd)NY29Y8f$#+k`>Y`(bm(42<-4^IncD|A(?q3>XVy|t|h+e-LGW7L@R2%^}N6y60 z2L7)pJHH&BdX5-FI@x}5lyPco&)P9>rkuW$4Ue`=F_IMB0R4 zd(fyCXEzfN0{&*v3IfyTIu_-67re?v$EA>yuIuvBozvdn1O#MR)7=XJ21Mi&U0EFW zYkbVn|Eu47#b=0g{Z;OUGpzM@X?lYliOd0Y11~x!y-#X?YYK}lQia&BCAz8lO84fw zv&AQw*VU5D=jl)+)7T36_J!|ARJXB-+uDMTj5*w5Ai#74VANv>K~unWMM7Xul@l${ z#_`C4YnYz^rxw41lmb*$_ZYNdLxMEoIfZ_&aB(gl_9c=IFWB^o^_LJ(N4u@Adw91f zgA3Q$9(N^impL2e$Jmu~S(;cXkSG~fbPv`QU(-hgzAZD>kc%z01->$WLur+}^6Yl4 ztO)D=s@ri!BB`IPSFe9zRm{Xp3Q4Tue8Xu&@Djcu97dhqHm-7J%;jG&vaFAnZZ6c_AUe3*n z(E<7aX8Gkk|8Re`(sY4Yzyl@sBfd55=iR^zB=uQdN$I^>DU+-Vu@UJo!Kw*G-tgSM zSoP7H`YgBZ4_?_eq$~6rNwxr5tR8_9cZuz4E|4ytHMAkbJ zW)$dHF}WPtM!*JTqhA#YK)yf$&0EUK%A4PTN>iDG5tYf;A4tWj8Es!fC7piq^W#G< zeND)i@!Hca)ZeNb_dod7duSk@S$P_qe_($VQ;!}5sHB?uIhi0p&74HTt%pW>%fS(Z zE#Vh~v1tti7Fn&o0%Tsu+?PAbfiEyT;U>ipiaGioqBYy+peuJYY}@fLk+$-5)Q2bc z&v>IPjC+iY}yMJxiUP}A@u%Zx?O%S>aEj(B9E{qOg2G`{ud9% z@|C;t_byh(|H|%I8&WuCH>leZ%F&8Yp2suLfE@QQ#rsssqfhOx@PV1Gv)!mJ&j6d2UoXaXZ0P4RLtk@{}?h8_5FFx&9R<9g0*pl%>IW_S z*By_w$-oM2wn?6WFz9uNT=@$y?X1Otpyx~wKd(JoD5j8gFns5z_>`Qx%<9NGF~8!J zyjQx;r)udb7h33YuBH*?jDhi|9f`#Js#Dh9LF4Ap9nVDbKCS48d$yi}y~f67eLMFO z{hbYk0|sh+(wCa#j&AMyp_WrNbkhB6Pg5IwQ7gEn8&vwdMGVi4&AwI|u#gHbt=@<%YtD?}7k4WLkkrK$(Q5)X|zJGA(4h4KO^WaaL6jyS^N-(12C zu|U)!4BwArsn!`Z-{w=Z#zX8-S{Gk)xsd`x2(Zbb$4|b?sPc_HT(QxfiKp``TN37q zu4;;3Vqech5Bj0LxfB`jygB6QeR$uPHFm3t>&^b>#H5-uvJYi-NcV`N7Ds}jnQL6@ z51z)lH5e{=7Wn_-JSialrJ4?<=)W^;(tm5jY8WDc2VQh9G&#sqJmB0sROc=l zT)REsrxLuAzggpSyU&#OdE?nPb`(2TnUkS#Q!Eo%k)ac7B6&A20AlrL#`4zhvLNXQ ztkWOsBV+g8BZm3|^mVq@QZI{DG?y+tcK(z z`Wh6j5uBKxo6-FM1gCocW!rS-b zl7H@O9E{6Sf>4J!8CCsU46pb4!HL+u0}~gm<&k}_a~|r=JFjA!bQ8_t@B^zdA)Z(* z-Z>+cphl-L=J}%8xL+kBn;`n1{k9KwBArRIZRj-r+{iJ1Q%AB;C%>DSgmX=7TRGq5 zKn=_&-CBR&){$uQT&$ohKmYM9waGU;`b;ena-LJ?Gn+}hT&%)8w&mkthO^8zA3U}u zhn3D$qjrR}JaB;|454oX?_dUMk19hU-S0{CYd5Z84@Kap^uKMpQDNFJwaR5hnc=p9 zi}ePaJSL=!Zo_yj72uf7LNO7eXAZsLL#OKAz7MW3N?UA6+Zr+y$6`^1e^BK#9#cUv zsXR^;`OZ#lN4sH)*2>a%b2ZFwkIS8yJ5Ghiu9haRJ%9G$v9Y(m531@JxMS|1K6q2% zG;uYf`F+i!bk~-`^Y_7f^;~K&&Ebz;zTI0mqm{un+Ygi680CbS;&n5f1adWP$oqN0 zC5u#qkst|vafZWLWp*ZbRABm&GI;04FAplrD})qPUO?D`RPHcBsabu%*;ZF4<5gW~ zZq1c+jU;+4I3^cK532snG?*wpc?Gt_NSjfA{Z~!k_famLdoIk_%Q)u4+_t}E59+_T zv{UFm{>8mMuBw~(u{f7_wD)keBG^-sPB*9`R>3`dU1j()@4nW3=5z-A#~V&6E^To_ z(_jb$8vld-ljyU1a=~szI!lQ~?(1Q0NrXL((f6f7THj%H6k`VO$g;covH?rau33)0 zZew7jlmNV|e)seqDJC;(s~o+-wF9r@6^|+tR`CRqK?D9r?nZi+*W8F)GgjU17blL+So(qC7JJkeO)j zmYo~59N=yf?I) z)mo+KYsb?+6FW9Of61?#ayXOL;Yi6u^>M~?IyKCHd#)NGUWT?-(N6Q6;Zk7*^qR{7 z(e!+DfqUo}*+Oj?$EadZD;uN>dq5Xl*@mC#LAo%yyGMn|40M6sAb*LphuJCfp<$a* zelBqY(Kvc0FY3!}N7SB0)3decgZIIr?L+Fp#bYBWYwOE(=1lW>v?3Fwv-un9>4~F* zW4U}RUR@SMrE>U8rzY1<2FedxjL`SyC=;vG_A%1IEVrw77inJ^q9X`;^fZZ*8mR?l z9t^)?V4jg^sKqaB@MO*sSJ|D29Q!@9S*Ulq?Iy9cw(-1ODzn{H?BGag^LaYJRQH~j zggxS@!C4}5*DJ$%m`7{m$1g8E`m=D~bv`%j4a>tcg!1tOJBybCG1%90eYU)HPOwRX zobg4coTkYy+n*K;=GTn-JF`cF_GPX6SeTayD5@MHlfh)1Yfmtf$nFMgr^$hoq})YO zk)R7AkqL6(fCwOmrB%-I8_nv3A5E4?J|AhQ4F2@Unsa)!u8(;-AMN;XTlc{U4YiS; zq}b@&+`7#WjU4nqrPbB-PeDX2dw$w2JUh<^5Xh_Kr_=Ch6j#I%$=Hy`93dG2T_gqLp3M2@rRGE z8xwpL8ShV3kby^`G7rAm_;NCy31?F&cYmg9)XQ&~!!E!KZ*6{uI8;FS`bNt8FU-XD zFd>KSNlT3t7o-{t8s8>08wwBjX$J3PPOi5L=oShbcSOYg{vhS+kZ12#C=J=3lw<#K z_PmoKfo}CzZl2JT%>V`hih$N+Q}GQ?%S*c-Nigf7B<|w`dika}NvI4oivahNGBZIy z06wriK3YGEUIBAG+Usn*t>qTAeaxl( zkgxN)P%XcDq##|ZRHsU;J3S32L8g?Vt0cdXIgvB?g@QYCNW|A}r|{i1CVs7X3x=3A ztYVECRB+>PUt(r;TG|+5(yYwJ5DZKS*2+lG^;zmDR{Kn*U|T6P?Ef5hVynAgLqcH$ ze>VOd_g67BZCKJCvI@&}rds>-S0jpab&I*)Wwc16eIRia$q~J-9vcUu=J&?w^F?TE73~ixEe1S)1njVZ^3BgO6o`Apz1Z*rKVe51l77x0 zzgic2lP=~J2rS#f&zx&z<=J5*5Oqd5f7+T_U&II=pLA%q)sO8uf7rYBgorxD$*YkqOJY5IoJ;6_ z2Yw*GgY87n%Z?-Cf}eZd*(UyKhk2-OuWXaKx5M;$vBXQqjpIoF*gfG{&i5@?uGmYe zC32n);>V3=O94)|?8aH;j^qy-_H9iYQO26D*A?oWNXYs%9U0^CS1owLWCE}{`rca( z;$l3bierF6GSS2{=iHn$rz-$ik+46sxCJ_$fNIfCY{w|EjmyuvSxNW;Mv(R36jk?S zp8ZR*lFEE44MNoNBBBqcuwQFDMP&u6JR<#53n7;Ew(<70!yo2g3^Y@r$Ck3FH|27# z+27PPtyE;4X;)KWwIWS5pf}%P3EpY?GS4n;Yr0MUl5L=xMx>~^*=FX)TEgD*c(RFo zf`PpkTvZ)xnwhusQ^V{}Z%DH~$G$SS*lf0nRfY!rd z9N}b_7_r%x^D)5W>%RW6;t|C{np5?&)HKN^hXGj`56lW_|;>#Y1 zH^P{ALEPuH)-3Bs7!OsI)NcX>HzE_(l!P0-L(3;6QNi|S)avC;4K_2%+Fe;p2k4c} zeP&9ZCjkj6PB93Jvd+BHuXTJR&B_mrd&v(z@SX|J{+vtkn)pDIQjoiXwd#q1h&?}- zy1$jL;363ys8V@{uxCHxJij{B?oo;PptbTj`6~L2(>*r!>U&>L33|LelLqOvqyTzY z8SR`Ct z9d)#JaO|*;)N@M3)7_)%S6^Q;3fW=YIJ>-_sq&+dL*ADooA_M>V=>eAdGU>Lm3Zxq zx!lLv8!p}z!8YmTTddqx|CFa%kLBXaGM&|BrAlO0f8S(@J-6K#E{G?q8xd|MskLvX z>2hO4tt3jrd7o#TeW!Qt_weQpG*LmG4+%>&FsFYTLl$X^gX=eE_k`1Yqz25ICUXY7 zH+L69k&XvmHM*XrnPh;ehinam)D?tDJ!Y-Y?G0s#mBEY6t0knISWkrGo-;AT%SjhlTkgD@0S#DA(<=gSRwX zDNG^ne;3?JBqcvf+meq)Jrek?9b9^ z$PcTv%x|~chb@81VKei)Tsw``Nn$2|A&Y<#iXP!^&@$HlB8~z3@^X5iBp*sK=u9g# zY_Mn4Ys9TvW}dyz4tA9k zx#P+Au%-4%x;f^}!)B~EZptywi%mmhhrQR5PjOh?0+$wbYucjvoDDHuVx6kV9mf6d zOQK$AQ0BMX&+?cPYv+-bQV|O;A)YKzv5bs$_0r1kS)FDn6jz~|j@&YWBUB!-#;;ci za_4J`uA_rcnqj(MFAM42ZL2loR4E^SAu^JEEOH_V_UQZ5#JcKY=O;`m+uxb#@=x-e zd%F_HbeW)C^7^s8y|1yBDvq<36C>>P(P8J^sL;$ZxXp=Q&?ea9spGfxV1LNrc-a)E^NE#{_VvHCm3tQ)LHk^}> zznwu!d^$#E6k+Zcy>vx;YuyWR=-rDWo_);&m6fidP3<+Y*ajuNbFX80#eOTzD0s&wKwDkhqCZ2TJluOAH>bbnDfX($ zd|0lYhOBUfNcrCQbwS@a1^#LMYAzm?VKktXqE!93W07p&ESdI06Pwq*H8~ZoVsrvw zvf#tCeg@`bTHw`--GZz$?*uwjkgphlwHUjO&ZDv{qY*GmW$R?qdt^ zeD!jT8~4$VDY7dxzWg*QGY@(ft00`mWoa)anohj*>0A_Vrkvm5Q$8I-#p(2ZOV*+> z;iee-oPkRZX;_o#aJN%>;#fDzX*m?okug1z_~bo0zfW(#G*I{6<=`&3z&5#Th>PgMG;{jEc(?0*y|I7fJTN9E z67I)l=(=GQVf;OkB_*Al>*O_pq4gay>C~0nva)TS0_M(WHCsj-qZ-K z>rhIRVdLiscjkJdjL*=-DeqmP2wKtu$hw(xreru056Rc9pJ|*F=nNkld$#S2CUzR1 zdtdE{7%v%8Jw6!3ooUz>@^$7 zPp;jKxF_y-xt4s|(5!u@DbcR7cw{MppJ(n&8x%EW*8zY@ z=DZczOrH}LUK{#oP1~1y_K5EEE`5BFw3uR;7Cnc`+_W@3~ixaBQGZViku?kf! z`ozFUb-k_5ac5AC%ir){p!%`L2DM_(oxpHGg;NaZ$)_7vGQnNlT#^=iZ(EpR*{kL9 zbAREkh1u4Ey?e|w#>8qv`r;^=CYSl$Od?0lFI=3jF&adK`vEf85vt>cW-aGp)KYgX zsiYhY=gl&5`3TlLIz3C(lc+n`kNq6y8Xkkpmm)}62%f`sXW%B#J|s;DeKi<&q8kcO zSQ``5@YezvI!?A|<8XdW*2@6LrAe0Oy9S20+ykIZdCup2Egsmo(YZ-_=$BPy?z$6H zvxXxoxKP89wLp(;{3OYEw%SZ)|AW-%G!J5MRu>k?G*+qLkzTDX-KRO8XPwDORz>6V z+X&HCzpp%oXi;v@w2`!%`>zxI0nNRoQC80`W**!%5 z6H=rjd)y8BoM@qHA9c02PAv@aD*>d2U-{QH3FYch_u25YE3i5Y-N9um0(nBfbBG6K zFJI}$E{wzqybtjZR;$p|OIo>`g;3$9aAi#xOH~=#KaE}Pc-$|xiKH(K_*)Y8E;-|! zKK+Xe(CW>tS$<028{uC((HbFMO8i4@ytf7<>~H3m%`6Qog5z?_*&5*6;iN?#F1>4E zl&CrHYxzCvc~glmGbPG(Sf|GA*>lYApgA7gV`ylxEOS>qd+yj%>x~P_As=9ouM9Im zR!pc6Dk#!n2%^Z(mTDzHd%Xdm9~G4hrC75M&{QKs?|E&Yk_(xpCAhidhXEUwI1ETO zZs?aMA?hj$Yj7E_)@6;v{a;O&*J(k%xnChy8dZ0?O_PhP9z3k_F-mofP~`dg|Frj( zVO4HlzcAne7Ttm(B?t&g2+}2GASErWBHi6AB@{$ZN@=8&?rx-0y1TnO-gz(fzs~cV z_c`a|d%b&q^4hF<&lqElIr2BOpUyLQ$XHoM*nK^>Zd2+Pt(X9L7G9fgutARnCaJUS zZ~GuLs)ZTE)eBmy?h}MRqLl_K;dCi1bAKh2>eh8pT;>VibF%~D@^MpaIJh6tFm>iR z*h2QvPj8!jD{0_a$wL@4V8_+%u!dU}yZO(j-lx&$4^YTDAsuvk-A0^2R<6nP`_3EI z&XScLENdS{=SsW@sjWty%8Ix)k!qeX#$;XQ)N<_pQwNH8{L*Zj)$G= zioTV-9eTPLTi9T&N|+$TdcPIKlcWeiXztx@dv9@I!B0GowlkdNf0DY9OMIfuoJcD8 zgRzF@W#2}!E#Zd%;2+bvNaDRl#Qcm|&FDr<5>uET3T1PSJ4iDw^31_U<0RJ8`S2&b zf1P9&loyvJ#>HYn&A#P~``R za6&yhw1EivgaPMjIxa^ssd3s8) zCH&;|I8DU~W^Qp4CAulRyT~@Ywj9TQAIk24gO5>NW=O z>Hoa?;{2~cwlU|`4B(^@*dUMuFv@EFT8dhCUlBsx$4LMdYLxFFuyI(5ga{TUSRjJ3~Dz(VizLq5@I1Mydaks2VzD^U6sq5+Mfc1^2g{mp#6?^V;j^3v_^> zDg;8Mp!*_-(s|oRUxVF*^dLcse-R9nY`>;;K+6CSPOoWZ_t+u)JA@#77lc~9Z5jdg zG@*Lkgli7U1JNRZ@Vo!_C&Pq{63RdzSV^B*Ys`3p(Z!OGEv1P-#Qj6_cRL?H=aeQC z5U#lW--RpgNrMG(x;)g3Bb3au{y_@SKMe?kpZ=-of8@cF-nHhL&bhQ~pbmCczk@jd z7)l$SqoC&mhzf;O-y`te*t^SjL5K?l^dJ^k0>uIWm`lp`bKPeUSfaNaXxyU=b(m2R zmaiTKe3HG9qxY{MJH$OhSUH^_yWag%Z*>izGUf(tJHQE=0MVyTBM=O(`FgN|KywdN0DNs| zCsqUa%0@KW7#&CoFR&|A!e5}w^gn<43~I!qyiqqq3>oLSyBt)=U$Dq{QOt+gS5oF@ z(Yz2lBq$V6CckQ`+bRq4?Zz(ZPu_w^wloly98mWn`Ud>1ly};G}m#Ck->%2P4q6 zxLMH5Xdg?(IzXrH{S-v(pI7Utcmh#$ZavEat`vlmjE+dUd9_!s$7nJdnxbs+Aaxmy z)+Os5UXtMyH`Ga$7W6#Ff%wq|-1fyfDSa>&>S!!Qk}PsHw&s7zP!PJ6)dz0r8wP+; zL`2$v1hoK7`2L6dlTs^13UGe^N-TiRj|0*h>kV(2;F!@$>|Y`FP~d^goj+Eo{nLbc zLIi%Sg+Th=04!n%lj6Kup_mwyfw5UAa-T+_nj@JPqzhEuP=rV#76#=Dwv28chHc-f z4gyIu??6%;HOP+u?d6~eyTfaG4mQrwa~?6Xqx@K80f`hCa<(c5+|< zvT2b5b<7`()!|lL0gMT45*GqD*t+32f=1b_Abf@ABrW0Ptj|4WY|jTsQ9u0*QbnK( zcH@yE0Ih;%gqqsyctuYSlkx<|B6@)n4+T*JUIG~4_%wokuNi_=0xe^ib+``3i#V16}6y&Oaa zGC>DS=KzE>1v&I6G|kDLpdtR12?OnmMPBa)y_GI1DW9Uw|305&QLBU*IBQ6u+%Tgb zeGmhJt4IL>FHUpe7ozh7C%9Z;4}AiPd!129?}`;r2>j~9UV=0E1SAmGyB`$D(-)(K zSR9qVKL4&6t?;!}e&|yOkjV=ld-N?L062}w*|0%&j}&zOBANGro&&AZR~|YN3oiSH z=_nZ-bYdWeXrHG6s6$#pRKREO=qKbi0qJltfUOI4%zW%0*9hG)@Nsv*(VIA$q~y7X zPj!KINdD3Xhk`BfR0wh`DO7X@I1FJL~JyB+|t3`vMRsd$hUXWbfBz)9yL4+b8I)B*np z3YrMu>Z2rC&3Z<#R37~m@cS|_)X)o}IUq&rV?BPZel>hoj7XM}T+!Qz%N*%+;$74HnY{M{`zK2#D~1p~k#DhDF&$dw}Uz~t=dix|aGcym*@zfhs?JO`V5wZrJHFT{oM-)#-> zDZ81X)^Cgl*t|Vh(5`%-JT}}rWawgE+qb+(I8f14AhBSu+3N`X8A!C6h>W^j|EvO8 zcLVa))LapB6Xyy{)!c`*7!P=YdT4;mWkidNyEHp~8Wh8_T9Fga8CkpIPOb4r`3Tl3 z5L?d_-~(312-g0cLlKTVD%#+WHQTBtIBiYpBBMLiT-ssP3K~8(SaX7!6TLf%;qsl6 z`>ndQ73DwiNo=~AeE1$Eb z&(0@1F*)?++As}o7IX`y0>o_6+(wk&500*0yH6m&LEx^VK6K*#ZQfocdknM zP3Z`hyHG>`;{bRsO2MUElx{@->xyK-dQw|^(eHetF+IN5_8xm70cRfUtPo`Haus&Z za3AY=ikZ=3&NlT!1KbF^pDw>2<+hVJKYxtFeY?;35!&%|_@HsG+Hkw^4jSeoNfjS~ zSbFj2YJi0x%%?Y`pEl5E zKMypcWt}w<0Iz81H?eZ1`Q?o$Q8`jro3T zy+B1EgnFt3S0L^qrz6Bc$boI0%fM`7I6MUnnmanw=eV6WIYW|Rl+E`- znXdu)NsEi-@*!C~-QTS@H3SXk->{~(*rKHC{ZR~D#Qu@e26z|r zRhs{VgIu0h%@+KPuu5#vRPjLoY`6EmBXRrVJE1bPgUi+iF`;cCe6q2As*8DMv;osk zkZ12k*le37WZo6DMvk>6+NPJZ33vp!)-qyii|mNxLKb1Fe`czhY^69sB9&M?)Uvw~ z02Lyoyc28(@QCK^S}pNY<8V!M+ez_Kn2m6}lD_H=_S_0dYD)m+YcLh4Be>$mW>+v( zZ^uEvt8b1Z?d}~A&0ZN4iX^ni$%PzZWs64~-|Qk2f2IbWOd-IHyWKyauBy<9h$1}X z!csMlW6r8&sCl~DH$2Z_b*y=Hf{e&u1O^~V?J*S-KM7BrP7S~>*h z9Z@{Q?yo-~$3b&Y!SPcp%fl+NLhH3d=m5T}P*9#_0UlsoNEgP6_Wp<@m4XS{`)C$< zN6?WFD_p*?!h2p2C*`XS2?JWGT=42X?Z@7^_uWx@FL{C+c9MXz3A`8ZTNZ&!Z;s-Z zRnwRx>rGggy^%A4NeE+IZf}TD^C(K_!CD!86 zyHdGtG@67?F*ifRMBE?k|GFs`LJrT@A){=b`Tm0buUWuPi&Wkn z*r3`cr*~I081KAGkk+DFjs6fLGf1m|0a(#siWX{%(_EBYxfEAvBQj zA9fHDO_q?EAEE!7g@6bvx5aOfj3>DMwM@qqQ&UK1*w8pEnoAH+ir(9l3e6Tm9pDe6 zK|}GXF#^InC|ooXD0ARA_TkFY&@6tuS;J!ZLH8d*|9k6^9;4};R9o+nk(s3V68CX* z_$AMe17qNvQ3nxZM|FGzGf;~jPfH6xP6HIQJGTj4Gi+Xk5IAz=A#Snhnm{VT)O)eD z+}4k>8?Q+lhA~#7k=efrpj+d7vNaj7D52>kVXs6~I*oUx@s+{y=VjKfmjB`SYUlAz zqhRORmY}fa8Dt%kTGTy3QsBcUu?*zJ44b1*#*q2}GCdx@q{lomYPO|}OvT?H zW9(><@UoW^s!C$|w@NGI)kQ<&jAfZ@I;7P%7mXEC$XR({zH!wRR{SB6(;ce_s+%YJs!bbjebHUQ%tMyZ`r)y1U|uD38++M^DmW9#E`1T4T)ha z5Vk}8t~mfMv|N~Nq?;F_CK(TSJScF%GyiXi_F!-W)^D4%=-#;tj0gWT;K3>6Mzai_ z{Wi9tp=aFSQD6MMuIqw{m;!Xi+`Nqosu}y^x*B=+-$!yrvn+C#1_6*xFb-6P z?GdBZ0zHpl;2{O@J^@OT(QyRq+rFFsB{MR~+rCkHuUh5BY1>;K%H}+0Tvtfv*<-Xy zBIA#D%+-^*Wfo3FoncR*$_SRbg-RFucPyR zSS%c3F6jD@Ail4?C%-SRBrYi+E0Q{29oFse96tzUHG0eKofP3uQt(tiD~;;BVxxFi z>&^7&p}7l8QpzWn?Zyx?G&qMQb$V(ZVVSMk{Ucz9ZZ2ps*Y5 zfFu+O8WACL{jZ(#!2Bv|xgB6?Bwc0RLSpd2826%YX%~@VW)a{6WVK2y2$S)iX`{Z8GEefcZ0TT~W@yJ04S7cb_3L2qF zW!e@rDrzIumQt{mD-Q`I3B1VB=;9#Wi=gYyCE^KAoR?p{k_GU;WP#U8QSE;#jlIN3 zfT|0U8dhpbtRmg^@b$0pgK`d}9!vuh7gq5Iw!eVWpco~71>{riS+~21HVqhnpov@^ z)G2|@5I!N{tMwS>;$Q$mxDu3qe4fBmhsauk3BK&vV)G(LaS=^INLJOeWcTf(m5 zW_Z9WcOt!*UUD8bKz?NBZqlbKNP+@eUY<5deI8T&@H1OgK;O3z5Dkc~VMbnw-!Snq z<4(L?VuB>%@0|jvlAU$R@ZbL|f`I1w;3LLN`G%)y`V%ux@bnRy%wNK&;$dDykgrQY z!m(fP94g;!8xr+J$ql_SOhh+eM86HXHKdCJkwyZaRz5~7cqgW9d=NX)?ZY6hr6=@z z1u0huYM6KlnBUg-7du2qJEh0=U!d>L0lcbMq?-kKm=jo^T;8`Y43)Q)^E6{TxaX;d z2i-Cnn6!JEmMsBz(3~QHaN+oG48%9Lt-gkh2rISB;8;giIHkPrYsRp-N;MISkA_J* z_eN7L%-`ZND2%k1(-8I>C;R{~Je?UnCs86PK==@$XdXO#%a1n$*!YVi_mI}j`x>l) z=2(%NCdLxG_^B7(b zz$Gog6R@QH9FvX^YG##l{@(r{e1jU7+FEUlM}u`S1xlW9|GH&0l&EpM9i{pk9huyr zw`&CaroktH=`Mc!WV;vidw@8UmK;?VqIl`pOraH2uTp>aQFc}$(v{~!$oI!&I59Y~ zOs!668ufwfbMg3}_MZp%Km_t?D5yt5dXOOAq2NcQfgUmu8(v3l;CCpgs(k=m4iWef zlI%3GNr4XF0&%bbUie>}7ITao0ovQ(Rj|?hzWz>BBbWbv_4iD^WFg=q`)9daa81tZ zFd8#=5uqB7*Dub%r+#m~c7Y?}Az8Ri{pl|+TfrTUcBOetjey5B!s@^+``#YU7kZet zr1icbAKH#U?mk}-qkdTC?oP7<|VXFsA zc&}qfDg%~jVRexA5*cT^qW;Gk4xVN}E6<8t1n%TxbqH^x1{0x=6ov#{f24UK>H}-^ zKc8WMTfwMcmtoVu7}vHlc~bNN$DI)D`~3rR=vrp zfT0X&-L!!J5EtsftME<>0W?EalLN! zc|Y~X1gtWKxm+gTRDU?I$GjJVTy*t^MAwn8Itt8%h;IUWtv_ACuZv$6WDgQ)H)7aG zP8lPeS~V~cy`oAp=QI&BV5`z}m%K3oEQO1ApA4w58sKp;Opv^R+>n>p5bR`}HlH7V zG2qFP?2}5s7Cu9BB0`ds8h|h@;vXLX@^*va1Xo{z2vb4KH}GWYeo(Dp#vu^?3~t*)ftnbC;Siu zB%GT_@?#{6D!36(kpCkWKpX9`=HOx5kUMY-z{u1&d4duAFk7VDk)-YVWn=LTbB^!1 zF`-^ey&l+wG(_g4BV%MI6yV@vM%>8Y5pGW({AI(_DoI!+1feOmh{8 znV}25LrTfpKD+H`CVbZ>rv4^V(Vs`2v{MLB>Vw(LePuupZas-du7f&;(>uj~M2s;d z_xi1OAwP)$^VWR8+QV*0DfPA_3mkgNJsEzr5Uv^^h`?>)U%9$X&;h+;v?|d!?7+XC z$B#c^FC55fa_ytS1j$2m=u|?(#fqaA#yHqBHCgqYz-E97iAyekg_r>nh`cNG=3k!a zM8p=INjZGGf@azbNx36uK;IBC7Ngn*^+T zD%}KpjYw4>9?R&xl~sU`+2{8T8jQ1GN4G-_>tyL5j!3@Ky^+Gt+b*-(*-D|)CxDqy z@j$}&vv@qzZ{i>3JJ36s0o!=g=6SGG8av~+jWC3?%EbS~dn4{usOQ{-77kfzOHxyC zRx7=<-~~e9toYHmn8=_2eb6mbCkfP;eM5;#Bo-v-{cB^w@LR9qOzRVV`_g@$e$j{V zAffgp$7kzD?9ko{=z}3s%%<_l4T%5r$2Bo5U(>_T7-|-n#ZvVkSp(!lJS|%9OJR0R zk01kJmBZ|R_k&abfX$anZ%hrz874G84M9wefwNj;8~JYI6iY}|04L*s3ex>Xz!{~l zd%8e^hE@P=l5e^5Qflz70CoHS@GJjpesI>Yl;9pLyZw|BS888iI4^HZ3Tg0HOB# ziI=_NC!J*ZaUdADM35&z%YwEXc*v%CpIl{f2^Ty?x6mf5_9mno%;0|Y-(J#nKl3_{ zFp_BmQcK;0gW>M_tZHvG6Uk(UM>m05)_BIO2LQWDH4*_p(eOjqNk4XT)#!R_P( zV^|if%0_``jYhq?C5l9Pvs7V%%eb%#LOqEkbgn?Lco2Ddcnujm`36%b_gN;-08Z|S;tzQO&a=`6U?pkv`V+Xt}7 zx3q*rs65_K0zoK>3@Thw3eZb=BZ-S}OWX@DfxMj? z4Z(=kK8TBSvFH=)X_Kbt=CuARHVp2S5ZM1Z7I%jj?QJwOIK2&{_FWLoT!m6gfOF2D zNKadt)30|N^7UVRhVX+w3gza{v8RT#Q$8q^;_TmyVQ8|jZ`n5pp?g}#P*cVXBE7&d z0-yKb>%~U$xD(j_D2Y)d0(d8e2&Lzqm%RXCqQSqM7G(4_Wj|lxrkW zo=_|e=@hHM0o&K%=!L@~0rzmxB83L97ZksF)Ut?z5$9GZWXM-xOVTT_n5mol8sY;y zhwc+dEJy}*>e({0$Sxx+(qK%(Ejh<1h~bc*5d#coR*`7K6oUZ9k{P^GFNFx?gXmSg z6@&N*9)hy^gX8?2iU$~RI{lm#DTt;%qEK4O#~Wjyf%F9liZ5Kt&y2ysA{teozAwt3 zz!-o2=nWpAh=8;b@EI>LWxz03HX=LNzDw-XQKS57F0|wOL zU)~O}8WOPoSg~GQ*zMajz8tp=d%{36XBAsuItNfbeo}xjWVU?c=D<+sM1BSZSfV-G zpIc+>Fwk_s_V?a>m!|EO1Q!O$>3?6Czc0++7v}E^^Y;t$_X`6wKA=MV{lfgMlKric zT|@m_CHvck`Tw{LqcY@lc~WXP9@)mG@N=?J*CSuk;Z2BsZ!aE3DJ<#W;5Fo^y@GCGIKYiEw+=xyR;sg)>39w zwXbg%cJWT=c+5W>D~*`=mR?0OZk0T0;MWnA_5JP0@|Z+dg-$-NT$c?uBnAcL!IL8x`6Wjfr%P-hi!wg%X3{G z{txzksgEcWxH1CJY%o%sn1a_u6CLx7px&cU;DH9gVpfsP5L&%IAUd|pL^IzhI?LcV zSYPeH)%C#C^ICmYD{H8uiP3S`pdC}J^_M7p-^0ZsgVT;dyTeI$%~^qu+r`31IJ~FP zwuV{hlmB+{|gHi(cJineI_A1%2q!KWO;UDB3Rl7-Suv?+)*KGeXVYIc36FB-}GsH zosDmC)V!&4E<}Dh<(tj3!$aQ7frH(`!O3$Thsik7(fCzMji984Nc z5SSqn^jbhV%z!@*V%AO1OWTn#dl~Fa>s8soKL2}Vb9o!(lb4EvJ0nk)?QEa?vY*u{ zP`Icx-E@f4e)T<8`=TxGa>=6X0NZhg6SG|Oa+x4-=k%7_r&0aXN*A3tQoi*h`mwuB zf&CumXXMozY$BHhBdY{%R@WUj6WQNf9~U%NPmQrSd3i*3{J>OT`=@1DewBMWLj$NL zCQbq=&fVIq%63^wPfs8C5H!Zluch0uJhP9PF~bY^A?6{ zuG&VG**{0oHTyL`hRfBde7ctDc{VP5d{n>DXj-+IRknt9*yUL@Ub4`O!>+2y#3Ce9 z{=1C+Fiw1#9fT+r#qX* zvUG=ggfG+M20bnZG$I6IR$9OIP^!kDM42Cyj@eebr8q2WkZZ51zBG@y*WcILoI=hd zwE6b?KK+IxK$9=Q2hb?u*dS&AK&7iTNX7`+Ok*tf*BRVp?j9%~*f&mNZY;0~Z1(v^ ziERIpFAwP~`Q0Hn$y8n@H)%EMxVRouSIA)OqcV_|NjE|jsW(n^s#}+IF2S4~+qJx1 z4!L>ou67N%c}T`TDu^uSSOhA@?n^qCrP#`g)>1hRc?1?`l`J<|BuHJ~JmyX6SR&_% zJjzkVT00cJ_$s*nyPlHZkJhVYvN0*O@ritDGNbXFjhx=tKo_2&HJptSOHW=UVfo>f zyubnVAF2+c?3+D%h34Kn&*680a}-z1>(~Xw30>D;L4;`OXI1Gym%!{nRJNn;s6%~Y za$8nOq@eqmibdKl0l!e0;>6yi@{JOM*-^ifwXNAMt0>RuwvbP3161gjwPEoB0M3sO zi^LCfH*hmD`UR>607a#N_Y?rMz~@6gSKapB84&o)KQC1LXJPc<*2?KxUS;{hPJqdL z(U`+y$EDoVQmn4ap3JKDvp`eJs!L8t)q1<$g%G|Y}xCvm}EaCwVanJ(S9V+T|Cs6+^`Hc=fuQ7OIHTy*4a5t4Y zdsw(hYquob^7vzvhwlyBKy07a8lHs>CaXlr-vJExdhK%NE5X&zTIz|+0R7U3a#=DC z(CHM`WN<|(Mu<}9Jpej}kgoWA>!ssvpjzo;&x82WbINM_&WY2Sb{ZRh{n8Tw%Cd)p z<0b2Awv!&G_ls-O0{Df^l%I0uTb3NpkQa8{A=b4Wl^?&) ztFwM^A?>(*JhnN$6s~I=sP#Svo^V#Erfb7`?}20DxWwn<&!aBo+4hAJ1l=!PYKNmw z(nGH&@M^mnAA%R;S7r72=kn5X0^tP1xR<;cL6;}&7UN{(HY;&G{1IB4dt@sXPd#*u z{{#(ChYAdi?UvDupN5{!ttmZZd&IoCjDZcjb=LsDQxobTB2Ecg_sznf7jWFV4R7OD z6SafqSv{r2103yxN1D1zsKe=5g;kpF>v-iN>;{wCRZCe_XLT>T?BA;m8tYV@&Fp4O ztd6I%SI=D-n|}E&x~E?}^tG)Nk9V?hnNl-zd4xvm#fp7nrQ^g*Rl)p7poKxD@uWp_ zLF{wUouvHn6ixTsU(BnY(JqIcy&w~JetOI2`@a>Oft4l#pQ`KaV-N40MUP|K;=#e{ zsaWTo+^mhLO_Dg^(scgS3t*e9sG~+&<}NQ5MGJ#eb=i50;6 z_4%xY&chb;v5%?Rb0Q*_@66i(Iuv{L5vAjnh+rx2<-y?R{gs3y?5774Elif7cTWZA zD?K8&&uMZ(w%83$7&Qc+lv!7`67TZoD!+W|qr&8j0-oO67p<)-nBvZ*XFi1u#Cr4! z_vB5kk~N4(^k#+spgM!Z^;F#n7wyC1>Wk#*T6wmd;E&TdC6}kwm-$LF)mFr{lg^7V zBa6My1!Dv^U3p8Tu4|eQ2YD`f*WcvK-=8k-yol92wT&8dDRwENyf>4WimC zx?I^PoZRyw^8}&RK!UQYQ{}5hxV@2PHz&=bT4-nM~rP_3Y2=@>R30HCp%CM*il5>c zw3k`%UF7J|;Rq{xxtdO7x1ISaZ&7Pir@HI0JTLW3-Foec_eYoECV^EGJ!V6W$>+(iXED{yAK6tSZsY3&<>0vwPq7h@tr{+CD`TQL)mV3T z&ph!v6wwgm%uLGG%r2iXWc0PqEf{;2UT(`?nOpQnHgzEI^yh0o9ovQm3*CT+v1SK_ zAFH=8iyf$rUllbz*o>{X{NpZP>b!6++5513y(FxBd8EyB^M1wB*Ns!&vBAPl2TE1# ztx}ci)?Fg!lItTD3C0X8_lmc)9(t~7qVkUIJFQcqc$Y-6-o{uRg`!D9)~Y;i{XOlwGyY zWKlG{Xu=Y4y)}ejK*y7-_>ecqq|EuE7jx6zb-h6L{=I;&av_&TA0tfn!`)*NtSoT4 zPA{Z+?RK?!@i3n9>g>7~pQ;sGC|lRZ1o4lzKOtWI{AekB_oera%?Vz50EngO;666F zN`w;w;e^?e2XveDq6xh_hrbg=Gu_V`ve%~% z1m{Yg`ah%9@eGrSnF(h#uV`@CSh9@KbUp7k=lR*dtn#(l<2)v4;P>7Dw+TR6tGKfu z<5snkx4khCHGxN$7@~?zmKcRS-h=h{)>Nm6{p~oP>lzgfvZiH=ol)cXnpwS0rze8c z>qmvQWGe;w!n>h~luTQ^!#1vteJzUx57!fDCfBQS{;(8w9SmJs9rkMKL(nM%#l@l@?ZU9A!l{W?%kXjYy|K2o0P zn6y6VNTwOOHMEQfae3S5n|^=eVy|g(ur<57Wnkk-iQOnIUxj(nZk4^1UOt+lF7x5C zrWIvouH@`G(1?ca;>rkwS;uTcTA9zYr#;q_H#T{O_I91M2b6U%DS32kTN+&rwX6y? z4FdOXR_AX}z%B2xOEa@4k8+g#M|mXtJ&3t|^k@dKWqqv=;$kc5jC7sm%`I9CRM%G8 zF*nP5>DK3Ljtt6#2NzEc0(c0iA;VcYi@y3_f#<&%{cCYeJ+oT+7*MW-ta5li01t#_D~_pB6z z848rZp}SA>c9r&rM>@UKPCcY4*kavu-gIU9CB3c1UcR+9a*8yW7E6L|hth{0o-Wqd z6D~!8u;t_ucC{6S_%VB|b5CaRP92`+K3;~yv~o{O>B=d2$+^S%i}lRIq4y%2&U@&* zyZ7>W{F8ia#&fC`|6p095VVhd@vHh1CdA=(c#dzYJG$bHc}MbOID~wz*5WsNn1x{8DI4unn=}t6IIUPNv zdMsx|In=@!)t*@}B4ELO>JK!wgO*r&5h_1z%9GPLx8b`UPUUtpUoe5CVQaQdAG1(> zxg8NbQ&1!m!;`^MUTYG-L*3|dVIXSPmc_U2EB%%9m4R_N=MNIslaR5j1Yl(%J-r<%q9#a6-*7`KD11tRp4n}9LDT&R9K;kej|8t-Y7KMPl{ zULNccULDXn7*}A;OzqQf+>+ z()LFHA5Iz)VDx`1WLSQ4IBI!bzbq{#aKC8I#-hHX*t#vdz&FZZAn46Z@5(jdc4dNx z>!B}Sf2Xmo`)-lnwb@BM!a&WEYRwIKhHx5$3*V(RNiHa1=&A?LDkqkW^2ywD8KOZRPQ-YWNv!|}*fi)eK7 zrUx!lCDcN(48pgR39w{=Xhm#=@4UL|MS=t3v^s=O(yl}+2U4{9Wr&y7#cNqFA7A5V z|7bh@i`YE0P+#t=c*GK&cBPyFf$O!?e;B}w`2Zr3@t8lAYIDzu^-c`KkJaz32D66B~mz5*J$+W*3m$pdHu;w zK(#e1`$g`^9T%hFYw}e+q#jZPuT?7Sv2Hqw?odJsdUlu;07{@)ibElnh$jGndUr9( zo;&qU-%>4FR~9mQQh$m!tt#fM&mF9UEF{Lf-~m=zCVj=U3OFc$UfyW7j@YkCtzT zS1p`t;~4hbWq5Wng420iB)AhVj8Xd%C*a2xbLOv6UloJ>tp~!tpWi(XGy8ZTbARj0 z$g)Sw*Xp@QK}r>RAjSx|)k`VRKmuab#pB~8>GKi}qxhr7>HlGNwy zJ@ebB4k({1PaX7!oM;<@i#ih}4ENpA0VL0TALh{1b%1i3yJomxCUhyubV>H(ZLr;M zUPnjrSi$XK=9h`WCu4DAp-OW7|0hb3YJyvwc9G+tmWcKH!VO=|KI#`5Z%!6PCmkp= z%D%Z?sEJyp9lWNAt9E$xGPhhXSrKPGPi9+%%<$n;nft|gN^6^T&EXCQo)%$JCQQAU zPovCAD+O2PY#D$381&sA>y@`^qAJo|FYBn@GH^WFHtf@xbY2a#XkvfvhGM+>UW%xd zs1@@@x)u(?1LQs&N|^Hv;z}@2TI4^x*~Q#q`$MRbZQ0?65NKgEX)k@!caCXz5nR6b z1mivcQ)Cygo?2|{e1JyC8zV()GPkUS_%1+e~s zq`@=*2#K#=GDU{=5IEjz?GRAO?CpQH8MpktFb-ShN8hSxFR*s~)h><$RUHUK?L4ow z3_1wbtXECyQxOTD&m~&a_g^=4n^@LQU1xdHWEbPIa#(u0mJr)3D=MM%M~8AiO~<1) zvta45=^*pQ*|~g$7RkZku(P0J2O0UGckb)_rDCeGxF4^&mRAx8l%diVk<72Ci>`h;-K@$y$k}6{I?uEn zZ`3p!929#xDXE6O9xGU1mdIX^Tm_7xzK!}LRFFGI01s|Mddz6=ffN;{6Z&+8*v~X< zocpzgI*wfJTNkl%D$%Ro4Zrty@HA;zd@fxuc71SGd%9^eYLOS&YkVge^0K~ixGZNJ zV!11K;G;IN5U%dxQ?%|w8`{Q!)wP;gkmI^*>Dlq-P#<$`1#+0Z)M#L=o@J_DY2muN zHS22ODBu&3h(g4CYmkOIh5u`m{>!QP)|*2Ep&Ow z0&n|GeYKGoAqgOd3C?r{7o?te9Sht_#g}0ATxe+;!$_|@jSdXRDyy6g-1hbsuf4nH z|J5R8@;HBS95NPB(~J@-KCOO&Nw5CeX^40^5#zpXzv15dJjz&ax%3Xwkl9Z$y*aO0 zpLd5QXQSDhJ53xu4<%g9F@V|w5{iZ^?lVJjA5*$QR$jS>GtOcCs;5G^TQ7RJyzJ^xfC{BgXvWVp16^R+EN% z+A?P#pUg)tS)047pI=>cwkqR@JAbe6$@~w%2axv; z?5q!>K?)+%$GT;BgX%xFRTgi&jc%dxsJmg&Vb5!^GjCgcRw`(;na$I%ID_-^~u#7WbnspZ|2V-ZX$ zrGVnhZ?TosKZjoq#*3HogcBe2(y6nRF31%x5x4O~j_9Y`KYw0bdT^S0U904vrl(@L z0jsOLKm0_J{!Pas&qQmC)re1^NuHUyiqo!rgniJ(TJ4DaW{jH+(<8n9hoXyeG@kto zjCA1DT%<}3^{a^o33!-y)W`PgiCgb{wXB?eCTIJpr)g2Qo65D-ar_L&Bc;U&tBAN( z*V$Nn-F;k7jrq&)0>z+mmQjLMScG}Z7mV9k!1bEkTgLj-1-}I&%55UE@FK7Nudhyt7&{>k|!A?Mzy~~ixSB4y2yZQBp8|y#=o4FWheF0kgX$bkm)B`9k5!|#s zfVl@`1U)_EJv$Ksz|51tzh@Ca#p8%g^_9U#O2g<&!}y%i^J$%<4%@(G<8pQ-~0{Qg;J2{ zn3LsBOX|-`k(u9Irc8f!lOFll6z-n~bmm5(>zZ;4TEqsGu5LK}mVMaY2buXZsz+;M zc+$X6q)T#m`t;RqKIUe+cAC-@aCrYvT2n~Qpd8pXjGOr^;MA3}VBcdFgU1v1o59-# zK)p8J9Td|e1k(3?H|xQOKGuBRP99E|!|PM`MC0>#$`+dZ?pI~Y=WD$|<+|rH-=+Qb zI*NdY&+Kc|77GVx2=RRNlYf#4|z6v@R?E14%V;q8jd;7@xf|@6gX8S=HIEhWEU5^q*825O)E`OwN zl3vJ1^dk9KG6 z7@O{d+t-fCi0Z21(bM=u+rZr0-Zl?^9fH5y{N}1fpDlOp#?nXTG7!i6QyPjt4>&FM+I6Wb3F?NFpg(C6Dj2_wv?N7YR= z@9r07FRgf&RK*IO?{Sp{xx0C!lFU$==f*@ihifq1z*W<-i50Z!qN3cSvDqsg^$97hm>D+G0l3o_^(yB;$=thiI_3*0#*6VHq zc`~^f$7{h;i*{94RY`- zp1fZ7Jx0cQV;x0aS9)ujckGWFMyRJ4YClCCp!%*Tr3BCbZ>sft5djQ$h#Dw!l`*ab zPPcv^FZ>a$GDzTs5Sbc4s=L73%^qHmi`@=#vBQR4heROQyGK|y!dE&alqapQQ9}U_ zeFqY_UzA|c199L+^<82a9|Yp?KyK}ylCPilu6l%NU8Q4B6N5zYEXy2RG*GrIadf#p zR2z7Ulki&2Q1sW=)-@L=nIaSnsc7E>9jsA*g^hgDYD4Q>opq(zWGzYn@)jFk1pfq(`HW8#eMaJMmjM)>6%KTlxOdS;7-h*V!K$V zXfXI3wt9ta`Ik>(Xk)GHEK2z|wTynJ$gFw!-_q6c65 z0FWKT4X}*wEOI8w+@w#@+nh%WnshI}Uu>(CE<5UGTYabsB z-VQGz?@%@l#zZBUComGB6Z1krXrTr;TJU})dP0^%n8HIpnCG?YQmUO#MB9CDN~dou zc1;cZSnbgy3ABt*u#7Z(eYi4Q9nNY(Z1cRvSy=U_HJtDpkrjL7uO32ND0Kca>Sg^I=>dqbRLgMM$Kbo_}ltxApfx4#+sZ-)Mx zq5qc9e@p1UCG_7a$KNW)-zvxdk96p*%^51P=M-o_*S$EqnD@~76B@h@?1}@7c_y(b z9H67?8V!HC#8!u$Q9~-a9?*@2RZ{igXO5^i)1FL+1fncc6&FU^jf`UP&wyH*#-{Hn z2DEen+7l9_wLlN7g=KMKv_PPyHx9h9AhpurEn?(CMbjm*Vn2B~yRfgY!3#84$PR&2 z^?(0I+;w2kET7;Z4Xgk9XX@5-jQ=tQ`Ln5BG*8qtJ^zF*a*|o%dhh-p D=E=+f literal 0 HcmV?d00001 diff --git a/soc/vga_timing.png b/soc/vga_timing.png new file mode 100644 index 0000000000000000000000000000000000000000..434b028c2e8e46d34563e6897c44797ad8d0cb7b GIT binary patch literal 39707 zcmeFZ2Ut_jwm6ES5>TXfQ2{|h4ZVp-mkv)0TDZqxm^OqfK-C*s(u8e@nC{Y5z#4PMn}UNq35l?vF2k{xm%RzyP45 zV_;-pIDO_Q(b1n}Vmx#9kNh8%`~m(4)Y0b*fDZ6SiGMBqd`-gwINnAZO?ym`<~YkS zT9#u!+iA`p5lMUOuZiZb>4=zmDzI<=g_0t+5h0v-={yGviv`vQfbc69y=nNmW4)x=Kbrxhxu3Tob!`x zevoDD^V@)H7L|&*jyJzUV=Zxw&KZTu10r6|1#f$o_#zQ?E#0*zIzcg*wVyPAa|pY{ zVowiM!L_qB-hSLujowj#Oe>~@Vb@(HA#h0k223XY&1atVo?!D=Ks_Kzi zWpoZ#?YjGWR^GNI=8nl*Ro=enalX;b8a#d;g1^#k&XY*0Sr9zr)p9H><^xgyU3Q)L zuHmJ?oD(hmH8XK1=X8L9w&Te85xH{Hd?4#Uu_r>dAMfMf0rZZ_XWtr;J~eWlPBEJU z6$!9=?OY@30*#GLS?ONvxM!V`)KW6IS7;-fI05wQAIal0V=x4nBdok1#bv+KWiTEr zA1|ML`YAzL@~V8P!XO9!k%gk&=e>!t_kr^0h7;@HWWjcV1=8_MnNNnFwXvZH!=vX2 z0d7r}u={rv!oQzn>4&Emd!9!!d~HjYYOyizimKsI#7$QR+x|>?fPZXJkVan(sPSxC-=h-9_4wmDhwq@ma2M5YD(}nYuC#y1H zR%rXJkOsh5G0Q5ptz^IJ%frH(d8)3y)gg0IfGKXg^AasbMeJ=`XY-YzTtxMhB+w=R zVLP6u`(jX)Xm6R5w#%~65rRA4brMu)_kCEoS?v5L{f)FNxf(OGr_%{(I# z*P@ND3dieDgYY+r>*OD%OIFQ3H$aRMFCi#ke z+eFQ7e*UW)=0+<{{ep>vLM_a|lh`^D1_+cWAa3pRgM9Ji#=jzH{^hdAQmF>A(*8?c z#%Iku$Gq2-GTEt#QGHx6@=lr@tp0jkd*?#rL?FVP;GI zd{4Oj!pIXY^dH{^nmi+zyRttCV({ajV}pUAD~M1`J$qfdLWj%@RG@Uk`xM`dZbHgE zu5thVOv_8YZ$jo;eWYI5rdCOdi7lq*4#+{1bV|=yX6;$R0Xq`49Cr^(#(*D8? z+aaYGWq}9;$Ya^v6Zrx6`r8yHddV||T}ApDWIzv&86crC-JW6?KDV)xo-#4YLbR^e zcqZ_tf$ySYzS?bRhamRwdeyEDi^dhZJupYnN!O1)vO_wyqlJ3R@Lm!s471i={_eDG zKd*eRJ@Rdx#>9?he?i88<9n)GUeS5m-8IaSD5MwXgTd(uN!5-)+)V~Dv} zAOz3@MN|h}z;6_jGI`)XZ8 z3{FFxew^R1AgvS8|Itfp?YfVUEzI9%Hvl&+t49m;tmkq{i<_#yD zWx_D)+TMXvVH%Ze+7DP1?|Gz_2`@PDO7@BqI9dRS%Zd(!p8DjXPc{dIM4ZzC85Gxsx+am+O)xhj=WN zOH1@}o2SH2nqEsQ*EH%hfAU2|2GG|Df;Ydczrq|@&#gZ>E1!5Q)arf9#2bm!t2=Rx zK|`kQm9ffid)K+)h^IekdWENp@a;R9{aoM7YpCRKplU)jiQgoGENshfUK{}N&z{|- zJ@5Vge34~iR1LYK*}*1oRM6}b^3o+sXui!ePu9|^BAu0SW3owI2bjVw$lxndvUEqR zE+~ENVrIo>ElU10XNGR)bg-5mT1`rkkf%KH7;1y|o+T53ViXQ-yTVSECsoziKWS)u zgQ8e#JF;Ain}bFcZJs|PjJc2O*7tfe3{~XgDT>?AoMnuM@4KAna&|Xv2FK7;U;CE@ z*1rZIr*u~Sp<|Nr{EugRnNR&8W)cz&)+2CAmccT;i|}_VY4|8=E$WMK zJ;@Evf`@j?Uq3z_84rKgk(kbfpZ8R<`rMWFxjxaTr(sxFaDJ%BNKRrR?U7qjG9nFK zC@dZ&NN|fQ?C_4c8OyCkz$X|1InkyajlOTa45_FW_!j2q!jKG5(j*zz$xMxDtelyd zor$@PF+bFMefoUt+xVNLRiK;oaBWz}2T9d+bjqnA6U--g0ltQOC{TOh9bR-cj$jbE zN&8jjim`(nzmu~z1InUP$d)}#i=4OCio%-&I^+RSp(c;Dc*&$A%YZekRV?OSx6WRN zz{sZvW!-2MV6K_WBewS~ z3Pmr0;VEaTM!n(6k2|HTzw0Y`%ebqX_}aOZVGCbAuIpox&wsIM?Fz=8Bmi}8;=0@) zB>7xcP`($Y+~G9)z03c)ne-I{o4x>wup44YtTI`LbFZHj*8&2Y13JZ{95z;BFgm@E zCitf*6{@_Sdw5_;66Pljq6Ue0PW-Gmouicn47t&l-Sfn`MGVYRLmhW6ECNATC;OE( zf}3i!=cC4qvFjIEZ_nQ~Lpme+j5$U?OZxd-WBu?f!^f!^F+y!MH6seOfX!AWrBX)L z!sXFk1p&o=qz|If^?8>
JL{4+f)_^`YUXYhya?-ZlnHYJxC@t#xs(8W zRUEo)>dNn%NHi#zueWNBcfo2ej}y(N+CJN0 zfN@L#WnHbLtu*p2!-48&n1x~-gx;KFJv3_&p${D^W`-AfM*pO#M||iHY)=c648GL3SJFz?w~sk_1HU`d-x}z()tijys)Y_dYeWI3^n)g7%J2 zxi{PV2GY2$+W8(#zGcB(LlQ6rzs)OVhH_%HKv7%(F_wLYYn?AT$mMzvFy&%>C>)#ceN4h68f7PZqpVxhSwI++r6R!K~eL7uE;5wF%CHmgQ32>^9@Zka|6|} z!LEtqsLiU_6>s zwr1ZNjK@Z9u^)`{*=4p3lkTWsW$4a@y!b}I7vkMk=h+(;*vfeKMKP7%Js4Ga3_8wf z$CF$w7hT?16#K1>TyiEsl;{s4GbXLI&q~$_OckiU12RNmR%U1AF7VhyA2GUqC7-;q zX~%9@Q-lb9kxa%yabPTqw_9ZT#q~vJiI5CWeXro>)8|0OSu&3T!d#OW zOFXHhC?j~IoWi9MW@Lb;Ptqcp=-ZAV z3(ZnfLJZWfZUaV=Zc%BgZ^JQ_kv3uaQxF9|?=;n2kD`y(-8zYRnf#_{FHsoqtwEvb z9l+><_ve}qnZ|1oyZQ7OvgGwJM`D2kzV!;fFYOJ_Sdluv6v+#gvrnu-+KV~fm{dr7 zZU1KGdp-1h?qIb5Xs2N+38%x3Lz9RZl;-d?aX49k^{K6@`RAI~Z}$@+qoZE>B00M= zlSv}ip(eYRrH3g}{PG)zr({8dKL)pn}V=85;-A)3vHl*F#%a(+0+r+f349hl= zCE*RQ5gl3eUI240g}Eq6_$FRcG-|jGEesBwKPkns`ZSV%^-P~X$2&AQ>ec*)K@Trl zh2R~A6j9B+xBl4uX=6p-^Pe<_55LoZzKU3B#}-K`&fUx_k{LUxbp;e7bjY+9v?g3z zfIcH$sFUI?6e^6`IKLD7_1O6Lx0ANPMS zH>hN?;g;lv>oItqR7N#<4tC};!IBeU7uUF2NlIL-c^&6uFktHUjt92&H+&Q5PhK}D z*1ftwv@~8k%YT2IQZhBW&qO?C=2IdXl=spHUT;yo{pd93yR6h`BJ~6)Kf!DlNH8If zK^hj$1zIrK6lz_$T;JP?_zZuYI|WU;vZS1@KK@r2&0gf&YOiA(fsB(g`a*FiL= zYHo9#t@fGuK{i-8)@@!G#s6$qkO~QJtnVd6-L;H|I4^LqcR83i%YYpdi$QViLX5#A z_lRcapdsyCz2uyE$z47X#1gJ~HIT7I#n-|Um~x^=9hQcRawwD3bRQ43M$=Pj`F%pM zEu>;j|6`v;CJSy#DvFVcMgiju=hJU}BJ6}=ZH&^0(=$$VxCK#O@MOn_<9 zm)f>+nkzb)K{PSUhDo7L#SD_>o$k|Y647o;sbyb*2$a~B0q)aQ&_q@b#DDWsABVlF z$Zu59(0tZTFS2-9%)d~8Ew-q@WL0c5uk&;$oa(?{^6h$5LK*LFYq3@8*|LcemJ0BF zUO6^+hr5|{!Z1bc>Qr)-8}U?XN|K>lGOTYvx1%XhGpS+9V61Xuv&$}m<|@3f%0mkY zNKDeXEW`ovAmThfKRx02@@%91K^8x2A7aR_+uh7FHr zt+yJu$~$KrwV769`3$_cVUWaDY+h?olQB>;S0Kc`CU*MK^GLb|E9QUA?)rz)7Z$t4 zBukK>P!gsxMxmAECylZ<&xCDH#CErBAOj z-QCUZcldbC^^4r2QT;r+m%(qBKsy4fLc_H0)m{C#gPD1+o|)NwzI^OcCQ&6u9T42T z^I-oC;#}wXuv1ST{fX#L*8Mpc{!h)Lr;OkZiFdbNUAF^R-p-DZPuqxo;nIA{^6A?EHQ$-6@Q49V_CfR+XAtiWp3U|44{bJAt1~FKPqz-cD1KCZfP>fRcyIvr%=Jm53K_yeDk?LH{5j{|9d69)T|$y;WV#WWLkOD}Q&8QS{!}VdibkPol1j7yiKa z|61UGfT-V8`443D4amQ%)P0Q>wz6!Na+yk~C5$0mT=JQFr=!u?dpU(Iz%W>0n~kyn zi{>aEY%wB)A zRsWNwS^UEC_^00Et0^sbriPz132y-Ei;S#)S55K3qc=#t59NkZ<^`Knf{ImA?Mkm> z&<(Tc#AvW}Hv*&Co-Y%TSFAd_fugm4{hK!Z^5}}5y^paww};AC;$$^zT(1r3tDs50 z6MIp^Jx5>J%oDX-~je*ye=vY&bGb*Pj&RD4(&@$Cnz0e#0lKGBwm zf#xsoKIu6%#(#efAsmknS;y;J(43#7q1nbge6*J&A!x2-MDUy}ijT^bWFRP{bQ^Xc zYHE~pN?h#v6;}2!+F#DceC95X^0T}b;*cTy1bG25J>BU~UVLgb$a0rsw(Bd>aI5FyF}|;Z-qADVXEjD-?UU49 zlyx^PA}=hbNcUZOaKdXkA~>pQ#Za4>quIJ8{wy#P{u*0V*b z|H#`U{tn_qum-_|`0bXe1bd9^;M(+Jte+qi{q1`Kb{~`6JT=Ad8Pu<=!dL*C#h@ea z$IAD&G1pRQXaM(Rr&6}|4_~MKq(M!dc&&xowtwCrqc4@PGv4ENz?1eBZ3HoFq(k`f z8`^+oU_#vb5iF5EXi{6YhgY^pJ<1RRNTrfA2Z0%re37Vo9cEJK9(k$`C=+)7Xc^_g z5gU$Q=&wcIZT?9E68T9(tvv=}&c8CY;2C4um-k)K7ZIX|{E=p6#=6lmnjQ%qJEP12 z=wz7j=|XxEGB}%#LtLu%W-{{PqyWd7h|DMfC&m(2?q|hu z>zCer0r`FBoU)k-p+_Ds%7bccrHWlY*N2=dOKxv8lkJ_F zMmXj*HfXVEK{HIFgJbms4xa{a6Yhhg?f1=Lbf%WyHj~3Hz_Ay`^nb}4JUGU*%klIT z{cX)%H`DL_m|yZZ8s?ugzm)wG?yo%h{}W$sL|(5^w@Gp7Jvnfj%7Pc1d!CB#=_$jD z)fd5YmCUe-(9vNVPw{c>6_`LxCbzZ?h6OvDb~M|hzC5(7@L{O+f~{PCo(=z)EP3jk zPo9yCl5H}+9}MYkR4o+t_I1E%k|ElAUPUH4u)*F|>lN_}A*`E^R)%xGe*7iByto>y zzn;o3&R*P0lIAHs#b#8NW}cZCtNuacGK*{q#*hT0f0+i(#s>R1r)Ks1Rs~b znO6CfSud3;TyK;+w#HZU{IS0nW1kM8R!Bx>1*2Jz;oM#?vD3z)-Gep>Gg+d-`kuuR zOrk=s|APDP=$QW$D_k?pZM#q4ov$wE^_Y6DlUbjClRO}J@5b;#RwByN-7hKAr}HM& zh4+r5gQTR9rYRUk?5H!W^NM3HHGk=a;uo`fQI;*nx>LWroBc8&H6_^S$<#o&rVn(F zC&f`b28z>?LWP-gi<<;VbGNZc zbkm^Dgi=}#k9Ynmi+{~;?+pelxRMS|ql%=h8aN^u;ZCDcr{i1Oqv3ksK3qEk;_~7x+kn~J%HhqR z9#Aas#(MsJVd=duhOJB`;HRtk<_=fka(*6E55wUNdP6(- zdb?QfS`Tlup(B#5@5LlTurGoEnkzEW@7d#pHjVo@Z@j4~W1Y(X7y%afsE9$y_e(T8 z+@4ShCwNlO#bF&lwiqycg>`t%xWyndT1{NLPm7H!ApWZZ9c$8Xt-zK^_OMy$vZh%l*K&f80TosTwbSYZUyPGjZl$kMBzhb*H5hyAyvNSk;HJ@ zx_cffM){pqz2;s_AC^{F#SKeB(}KvOJm4`vtGYk0j?ke-ko>Q_#W6(QbfMO}jmZRf zvAS?4rnmK=C=QnQFQb|-+w7hP`?xt4Tvn1%OhQ4VOqqQ;WTy#33pp%kgGZhx>dEk{ zhr+XT6ukcK&@cIs$j8CjXhX5hfJjJfIp1(F$BJ{cM9IA;`r}!JH%2mxh<(P5AgY3h zY5tT?M~7s#97G=ljGB8IpOvSV;%~P8#NChSQ(w}jx_ohuX7?T`+>@{Jq9e-%c?0xBE=e=>#(T%uHkVG3j~%IS@4VWS;ku4z{X5YD5kOQG=@UQu#G+pRgJQGIm7Q# z!nQst9nVZp3Qa8RA#U?kSx>;68k9$xm?7|`aoMG{Uh}Fvv{h-v*gzp5-a%xzqh_z9*$|kSYoXa0^l2{b0jojYG&~xA^Ek;c%?q~4sVGidT z7zJ5=Txd#Fjp}+I)^zbJvG7L&WnAU{lE+&Pk@8lV<-`Lf&e+N&GJN&y(<}E@ z0T)o^YSt*Kp2kSqGrb1=gZ;WudFS26a=ELE8Fdpo+#y_ye&#}8qassmc~7&OZPorg z&T2@u;e^q^u#1b>h`I2~6#1${;%fYnX)h^TaB=lxv`MnBSOnp5srsjXV(46_etZ)S z?ij!j(4*_$%Ct-Pti;)I*j!<;7RukVj6FLE0fvTnbPoWvUQ&2LQU4lh`Q}Bfza~rTXlL ze&ZSVD;!t(x8mXxuYYexY9E|mlR^BrY4>WQxCnG!|3n2|SphjX%%;v8c3FJ4cw?0_ zQ1USum0%7amDpf5d{QU(NV^k!i0pD0?bz?@{LiOPxAl`%^*kyRD;+FQ98uT3 z>3GRRV?z~5`Th|@Pie*Z;F)>A*h$4gJ>J=pu+6BUZBGkanUSrM`Fil={%NA?fDOjw z*7K`Rg3UD*=neFqON#ZENN72TL1!lD&C-0LC>)v$kUg5>gVLeaCa>qstGIubWx|CF(N^5*BBbqX|j`^<|iJiYDy$=O$HgYvQW5nyV%Q}t_}U9L3i62kFAU; z%=_D#1xiXSrI(SD1t%w06GvhF>BS?`5`Ca#w0MA;sGE3H1|p}fLucd2ox+!Uwg0ty znF0{CNbxlwX_Zb??Vu)aaS1*Fmo79dP~rK`eD`*XdXOUxS654-90`SGGF0_bas4Ig z30E@5Yt9{x6>}`pyAHq$gh2JU{u@^c8}`?e0g~h#vB_s7EtY7s5aC8WJ@G$T`cHD= zp0?gs6JnGxM|PVZ2j_*hNrz@Bl&`ammdQ@Pc=DN~wzp7o4yF3RMt*}RCt{+$J4^^L zE|`ea=PnuNdFB11C2!7?-*TsKYGPw6wRCF7e1Le^Ra~`u%57c#NhdoVRs8bt8qiqk zj22Kwi2Ly!C%)3 zGz@-$YcYjEM`Kn|cn30JHR_=KP6HI#AKX$<4@-S!NE9<1LP{%14sXSZNU01DHyXc8 zwm6^URW=j%QdiudPu@lfTRMsJxtkv_K>~6~34Kj%ufngL%}89vl0XxmYGvnAblB&g zI45&Pkbowk4c%W$O0FBda)o`C%P;-5BJUxY>G(v$($mfeN&yqQuI~^OjripnmA?%Y z8sf#Q(L}5`H2pgNIlb=K%Ez_vixxuG4MiO3+9@?3kogOCNz{CZ3368H;#!rhL%S9z z2nd~7u>q4%6u^ai^=>tA`&w=9D>VhP5X8Z~g3BUMs$Cis4L%f{o1rpKvLCG>1u!SB z#$ZI4DYUJQ5dr1aw0xkiwzn-FM{YBvkyK2I~&?S-7=AvgdwYH|o={bYF#Bj{p$@xmtN2y;o zIQK9Z>I|z7qjkM>f#JNa*q3@Qwb37i)>Rz5=(Et5#dn=W*WLi@`dgTju+Cn(*PZig z^5Hu-!|qSwfwpUNjvY6SRXvRg9N=J$gM0L85p1973=7t9$%tRtUT7$WhK^~Z*br16q%5fQ%nq3T(5F7JraglFn`$z5PA%ZEsNI1pFHpW3$OEng>=@E~hGD<}@MNDJI0n?gu=B4R{XvyG4Mppme3l?zr< zBC)D($d^r8zJ@$J#IAdX-qI?`deRE4nAn`y$_L&z|KanKMpp3e7aLZ5*Pr^^3^?## z-Kw2PM`mcqs9fem)`~W?Z6(|QLlp7ywY+wHYpNMFlL(FzEe6m8Zaod-OS52~vs-On zn_O~%e5^0mF_M}YFEgT+F$^J#+^Z3tg*S8u2&O-2@YN+{V>G+3IbIncUERlRD!f;a z;lAU0E^v#<*h-V~$>9t+f$NFE2sEWBZ>NoZ?4)51FL^P zue~IHs#eq-b+TRvnp#kK+ATG`F@D6juMsHHRuU}HFvnUS-duUI6BH)Y>Mt5BUb=Fw zS@WIk{s^gSNmS1NYdae_8TX=5FQ&_&*gWZ$H8#>p{wrrPw~x4CRG33s=>bUlI)~?C zTce|0NPnq)ZF8SVxfX7qKw9#KBS|;^Mk}0yERwBE0_y_JR-qJ7#$&=Os&=E7 zn{?GD-f$suFyo?zL3;JOmn+!Yy?uQK*0AJrscxRex?^t__}zN+<7=$7<1och&}OVh zT^cYT9mpR zUddUL%>ksR#$3Kqhdi5>az!0S=pI>-w<>lLgj%Nw#(g;Q)%R)Y=mO z)R)POTbxjDo4z@HNhSuTiJICP-stJGg$04zpc`Tg)&QI{lQ6?kpewhgci8=_n zg}AjJ0!o3_^ka;*LX2TY0Y`~A?}u*37<-nYHVS)4GSUyYYrZk%oRwe27@A-Ak%>53 z6? z>99?wn^NwuWZ@!Z=*i}3s3a$HI5}sH!01U9wJV(H@D5Elit^lOd%y@i<#Iz zQ&3;rzyD_7t0M6~@DOxC-Fd{)YAvfCEW2Pxxs0B59UG z7YGqL+PNce_ITJgiSeRO(v3etyoU6v22wM(zPan~VxlLWYJq>3Cp z`b14LGuXgQrw?KTsySx{M!;}V^u96>7*>lVOraGtqjI|^nd&Jw(;!iliuZgfEpg<> zF~%ENgEF6|6b$qYg0DVqn26QA9%6+@S);T_dMrnw^}783gae#q1KqZ#22ztV!;cJw zl9*{fi~s*WQ*B$Ke$>(hC|YDG!I!?(}W^q{&L#F_FK!SvQf~E2Ta-&NPY?gGja1FP8NN8Z?Ayj@R(s%M+N&6L%}j zcL<)zz^#U2#CYpm$A0OIJO9~7*BX?klJsOk4{JT^PdBVrN;M+&f|JGJwex}n842mp z3?a6oAB6LH5P}P()z9KS5V3NM;4t<&@#u&khPE{c{LxN5e6e3*%Bl#wHSKw3>Qria zLDj;1rCAh(j(xj06Bivlv09T|%p6zOnfD9gzZ)F(uD5*k-V@gmlEz04Q}N=gTd-w} zm=MOo?QP7{qK`gjx1GOEOQ{Re3n3)UA`*6 z0$Z)Q$;s`;l5Q_&dNP^gJ5JxmfeB+O`p=p_w22(BFdTIi^{-DE?@zP!9gar>_osc4 zXi+!%Fc@A;U9ttB#S(M>%cZ6ox4ayuIQq96QQ^;Fd4uG)8|y%6)yY01U~M`|O&rF; z-KxfC1B@ULY*b0@Qt$p|wlTOBY}Z>ne#M>l{ezhC0oS^4%e3~;-G`xok%P&ScKaA; z$Ts%!-RQmenNI>AUtW97`rmxBAY=Al@K1>m^pus73QOw3%uM+E{r`*p|>; zu?h?`uSx_K*g$ULv@Q@~_uh31mkAth2b@e=3@`dIm;X>;Hj~$6GoZ7;8ctDXFXj+v z?gSf=2u6KMWip{`B<@c7h?fBOBa2QOb}{hUkrmiGU+%!y_1f>HfV1F7w>uerO%++6 zS@Ba{cCAWJAAGp0)vNnLY2Ayu+FxZ)e)|Vx$L6p#>ea$?vD~dHkdV` z+h5KGwoByMGD`cE1tzim5QH_>t-jOyym{`^W2@^8ymlXKK1p0Y)G6dW`Auv8^v>G{RZi(#p2V4jsHP1Fh6T>(N6HtF=0QwNNvHM zm`oSMq`P$rAoTlzN&|lI7sMwtGGC6V#F<$Cq`B9faE$3gIcd--k@F|bd*6$Hi8i=W zrn<+{beB$TjuR>skz7Bf)<^%7hDFSF^0xz(k?tSb^WVEYw)8onl94hK^oq4A(O-#w zV8J&h`#X=+%ipo~qWxcD^M1i}UIWzppgiuzn*+HB(OYt)tKe*-*b z^iM{fChvVU?Ct2!Q8%W2^FBQf8MfhHQ0MCAJ`VdUq`=|sUVXoB==*OQIyk_6^?PN0 z=U&wQ`1btx&7%Ux7JbGlV&`X6-ZNJ{_m^)Tte>{L@#P70;1V z1NrX&rmxawd_E22(l(r2%~%ZkN%I&>tNHqG)ct#xpr+pmL&NglvALUT?H`cQJ^kJE zO|!?@y|(DO{NXUAja`<9nuWSs-gkjE@INRvGt$WDZPNYHaru)9G_d=Xo7CB@^U(`u znDu@?*=ewUMGLrpmA_P>9B^bCB>o{HBi$L@TmO)!G=J)t|DulBTz4Sc);bcV*+*LX zu9~I09rKX|Ci)l8*`J2af637KzegSYgUIdG7A#-3eKSKm!s#f8FF{S3TmL5wPLKKV zpL6=ZJg29M#_YQfD?@Jz9DWNZu)Qy|)%oXy{;wL1d+WvxKlB%4*p6(R36kpS!G$Ey ziPy)rM^=VO=m|X1c`4Y60@_7S{FbxcWB!vv|a&?9>s3{ubA)*V|jGoK{nUE zx{5h#P8YGAV7p7k#=VB4!VB|qm%+<$=*pPf2|Z~P%mz*W$f%wlzuC$hQ6cdHnCT?H zy*MvDj;Zibh1c4(yWeNaU&2e)-%(8}TK*d5Y{orMCee_eGs(SrvrdJ*j0F+=uFb~5 zxoQz-ZKm*r$9+!WDRdV>Zd_n7$sf=qDdKAg-chh{R1_SmX#jOvqJkjZ=v(Bsr_12kMwsV3I_&25}zrBv2at{ zt}bQj=^MGnxYTHdM%UIi4W3rxACQvc5^g!YFn3vuPG5d-*6^Uf4KcVIbf7MebfUhN z#5t+ge9iSN2bIH+p*=ot)H8~(Y?5eJVT&w~mS|s~vagUQ`61Ota>NL77+Qdc_CV|L z&a=IJ@c-?*lbrS0O1X2`TN3+Yr2oj8ifX)V+P(LTTXA%C;F7_%P~rYXOYsImAFWB5JEMlu}}#eMB;`*?6F+XYO8~%XAVIbr19e z9DenE=|+56-E^OyWJ~9G5XoaDMsRpn3pBIEF~EPcZK95lL{;RQ@-$O@vNDP{sW(Gc zt@EaTHyU@tfrB&XOp@|ONiQQ(&<(nl@RCt|ybTmP$Zda;~BUacCa1>Lor@HIDXQ`3ZaP{i+I}I?g$fT$; ziWAncuO`E@lMP>ZbWdW!&4-U=%ElLolvryqpzs9dwpA9!RZ()HoTTfb!*}&%j_VcZ zxAHXPlC9_sjiT-j7_n`QoKao`yGarJ%)B4<#BC&!POgcGqYdpoX65RgH$hp_KDqtL zpW?PF2Eld(4Gyn;^;ofvEK1sGnk0{SI|F~xuw@s1O96?Dd6dq9#A2~mBMaO(TN7F*ZLkDT@y25qg0V6GXFQkn5 z!_G-MFP@Di`C=8Q?NwzzjMhgqv64>po=L%t?hTnZvZ6q7usC)0CrtqWwMuH0>woz) z#iNrHv+TPZlJJVLTDxW{>ej{mBDehhHyU(i-*h&f`xQ85;MUeQyyNOtDKwk=@OX$R zvCO@9BBihNt7r7pDb#KVLa8*ySxCn(-|VE6gDAXzZ&h^LI|90I^+&Q3|*wB$nNp?kQ^f+-(KA)$>GDpz}sLAXx%p{90pWZ#E z)0ftQO0TwynV)}!wuIf)C{ec~S`)f0gxwxPo%0=}N6wVlIkNct;J%XAA=SzPuDSU_ zLXUP{flCG_?D~F>I_3K?cnB|^__6Us%R3p_UOOGG6GaB0GuT#!Fb?jc12Po4Ojpl3 zr|72CtgRTS1_W@Z^w29hXQhW#31=(Lv79Ix=;3#|V;bgEkx5eB)efcmC;KY?D*ykF zzDge$5{b@i95SI_TJGAk)L&7pllEXLG)G$3dOfZ?Its!rTQtSRW{Rc6VJYBfFN-Ne z*_@x?9cu0mN&T3YRW)sAbx8)bUt;|QXwu}u+bp`1La9`TJ4(nW<(q`Hf_zwep|#h& zivdSc2dh5`U;}KSGwxP6tv7~P-*$Mw6*KVAw*J$&*vZBKC9wgh?|f2{qi>qXFgdnG z))gscT<1~Z&@Jpvg)oBO?k8E-UQSi=e#PX~6GSiG8VgjCfn(z_Qk9nuCwJNxEWslj z!q!<3O_nHg_M=nk3OjjoT;S2^978Us4}DApn@r5ByZyGwxYXE2A^CMj$*XI(-WxXT zyWLWH-ERw1!~)zQ>hFEk;HQLLTHkc<{-nvcqUofN($b!mUv60TYIyGi5+%znZiL4F=Rra^nZ!d12k>PBPPboL{4Q^CdknJx4`Z|wtmT@#> z;7e?7CU#BDJWL+T^sS_2EVgJ26p6h;FcseH^^BAZY+8tw+$a#R(6UMJ`Jy=KR9Qt_ zF9OBz#bBg>v^RI|+_I~G*5^21gOrK-(P3zeat@#HP4*p)A*cQ}&cr-h20y&|PRW>rQ-Lm<)@c2zRC1u4#51<4h7h-Ek zCxCWbpOv3C7b6_cR=3K(GU8j5X8p8c_-$NLlo_{CJ>#H}r_xC&zEr5|_m2&20T?Uz zs()A6(J>0VP8crE$<(D9p}Lku0Wkz3YA+xsvBSi;*(B!nnfc5PHChfoxxit`_QkUvrC*_d7{KxK&A@T6?u=IdhGDjoL{>KzTZRa zp~>owc8;fq=?@nEKrwpvgXG!yQa4%!=osz8i7KURE@QBW2SQV zkdQgf>$0`sa6d0dFdl=5HDj~L6Ok>~WN?%;k{odN6-8SEpIXi}ce}?Z$amj6XDJZR zXRTTz|AYMl9fuDev+#Rdtl(tv`^-WE3I~t=i@9@7c+*;d0v8tmtsTz%bc5{vnwc~4 z99LV@{q6w43Cj}yoX*PW=bizD zeeoU}^H{ycGhb{2VlObeB?jvWPY|Q^ii_@ihrL^I{@5WQEFlG4#R!w~&+%rraqAn) z@68aWZ~8;#*2^Ka8>{)1YQRekXff(@-{4#o`6ma?#Ka0}d;u>tOmL%cm!R2!8pW}n zX7X!>=S{#=!1<94Us0^X!)t?(-f_`K%oreqvu38jaq=DY=-?(G3Qj;!xHlixva(7- z7kH8!n9)xHhDTf@zd&)QFpclmzCZXk+#O%n)n8q?z{LBJavHnIyUGI3a3-dKFM`- zW|KEeV+A!kR;*g*(h1p;%Zw52Z!Y(C9P|{ei;N_GxM1!$l}vKR+Z5rU8`;E`_9|R~ zGez+TOqu0E;H3OH28*GywxkImowJf;bZFk&SPw`G1k`oNeqEz(@>bzRb}9+O>+B>chTzT!TLz2{un&!9wj=$5yMs&K&eg9yweH{W^nS2Tfav_;#klVC-G1LgSDbQW!erO|xbYkWVcn=Ji*kq}12L-;&l;8h~KCyf&M2VemploW94l zRjRnQ2sf>dqwRr;oRIs3q~gLv{BU2&Js%&kES1lVIVy>yJjVvm{<0cZs3oHulr-3p zn|QbBx?}jZus?L&Aaa2x6LGZP!ZDJbB+OWb&RaEeGmO@DkGV*|N-V--`yV^KqZAPl10=MBUTg$G2%&`#NOq=7F6p3Is6SNsEAZ`&WS?*zhQ4h2#*4G^b zDnzZi2>{hZ4_*;pL!gMATx?HzfuVuFTE>~QaH4^9s<3yx97CVVSZ4qk%;`9(4ytTM z+|<(8V*xeI6BgyyGZn{mJ>SnyyV}jPQ2nb-%<8toRSa)aP7Z~dv0$9g^%>L12tC^>x_2_NbAzf=n^num;E#4`qH+{Y7(nwb#JAiGkvXIAp_d9Oo4n6kA zm$_;zA{Z7iVkY=AfdMw{4?!bLrl*HQY>mYWzdGH+e&CL$G`9; zi0(SG<{ZVkT7AmAMl2}qP^$GVnT=%MX|A-(Gl`j8yX4v=e~>$Q-nvHRc!@y6rF60n z!2CPQVM`&sXp1_hYa;cJK5+>6fAY8RrR=14G()rT=>r6|GJg=KVdI;%Myh7}tRRhB zlEcGpR@|Hkxfkw0`Wy3VOI^SZ5M!!$xv#sEWa59S`v5bbMb^8RmjgmEa#{D0Qi4jT z+ErjfkiH$=>$3^lkh8x{;9>$?FA8Ah$ba&-Q9y6N`-;z#*xGG}QdmKqjo&!IefpGO zfuHs9#S(Vbb*z6<{wvk=)0a9pt5B8m&gH5N6^5OqLFY;}T)K(odLZKG{P!tT^0>Yc zzMGF03T8h4v`H4(X>S+LqEc7XTag`ZmB8RWQ(P%*;an5U|KVjLzi~wc-Ogtobi6LT zTP!DTq^6_n!m)U*lLtk*6qKjXq`hLYk~ss>`=N|KRODf=lTBgBTmIU;Zmf!s!wVj!}jnycT*MV(wD!)pJi4>iUn$2PVGq`H?4m!oz&|; zT?P6&jUsLUGBBu4PDp#>4!i$)w*FfkbBe<9(BNxVtKxq34jD|Tb0}SWX1FDu#4n+gxd2%x3BA7NPhDPV2Blshw znQ6O%>gUh@Qhlv>=qxFc#BP=MqtGq&luofaZkSQuYj2O(3!VFDybq1hGKiOPW%Ym! zliS68J`FocNh?EgU|gaTJwhKWpT-}ruf&AXFd5UHG%q`EOA57S&@&5b)g#*uvEzMj z>!)M@vfkaFCS9|rcy~>U-ut2?57RXD#U->xbB#{ylXmbx_^b;cmZ5`lKFW*KRYo*# zBn1-TOaqUxc3`AXtM)9y#?IGY@q%$V<$TNhq#C=FikVCWgCZp@2S%gqVxAuNlQ}-P zSiD_gi{a!!dGh2+A?BMwXWxwz6%&1lYYf>8dW-4!QX|To=&bMBxx5H=os4x zaQQKHsNiT$rvO>CJuymxf(6C27wNG@Yg-B~wNBYmD!c$joFsLD;CBL?#jjkMK)rlc zc|?68ygh0y&^?90VP0e@{E%>ixMQm_D6UrJH#KzJX@+(W%I?7M-3X1009NXP4{x?l z1G0u1*EzyMG6`5N|B0M>PwqCI1X~Ia;<2E{Y|8AOUSZ14)5^< z7?1tVBDMSg_U`vG$kCtnsxD|Vp*T}eAnKGU*kSMbGjE|LY4RHS0a?CLendHt15?xI z>EbC5vRHee{cf(J)I8j8l;jmbtmsKNAL6cDnm~#{w`IwV5 zhX}y>Z1~WNuHCduekvhlO;L1KxD6xv^s}h^HkXBRYmB<0^r#Bg4VN8I2R46Qq6b(v zQUB2tdG1M0b|zlwNu+`wI#(89$yx@9mlBo+4sLPRYP*;BCyFnz*ZZYdbK^G4?<%xA z6Hi~z|8x-%Slzymi_e(KL`+4XG7F=Hn%3>=h;6(`wQGJ?e%#Kn7uLbeTk@6#9hfAz zu#&HjTeY3fI1_9s8$SfZu^B_cb1X=4+C|6okVFGWgrsl>>qgG;Pb$2R3-d4otx;OE zcJ!sdV3kz5*n@e`JP%Vkjj5UgsvJ|_=3{E-A{;{?lkdz<*i$F!YgEIXx#jHDFbY|1 zr`u*}gu>EEtD>8hsj$*FJ=23*CX&N6%0pv_X|d+Yo7) zA4V!a7%nmG*QA#E=rmBo2tZgomh2VpBHRs`)p_@)_S-){RJz2rcI+9?!a?Zz60>%C zAbByLG5f8x>h?5q@Q3-?zl*7!KgE#cU&vsANsdH`zWvYBLr0k@3=P%w>)5;F@U_wD z_ma5p{v5-9;hrf|d+PM%%$=W_C~Q9zixM7x7TS=KKXp~1927@%UKrEO&A0aCCCfYB@~9!x%H#zEMPEgbcr2ND&QL-sX65$ye`M2c|6?yi#+AurlW8x z*%jSJVP*E<2p6Vm^MEPoxvoz&p1*Va{=?P6?m}tNxvIPToV=*Nrvtb(lC4VaGR!U+ z2H;AHWwJ2M{k0uN#H^?c64~8Oh?PkaaS=A)i=wteA`FYhcb{JV;oI5oECxfZ=l>tP z^6}aacMg5g{W+l|%*Zt0`StMOTlHhk;Xwj-YeD*;WHs9c!X5L{6uO9!QQ+uGd=G_l zjr_cTFCN1j0MkSAbqJSg!~fF_gVhbVCsB)+uY^DnwL;tKs^qX1&ylXOf!7nPtTJqU zJO#KOE6JB2_lH>9Ss5jk z;bZS8d~r}o;C%tfqW$`oL79TpT%u-fU*Q~~Y)<1N{75h2M|9#rk=Ao4JwjShj zf>HKCt`&nYnJT0U!4UBVPq8OO-;f$STcM2~1L$UY}o&laAEu!)qtS)N;(0PNvUEMGqgxQxVCQL^DN){7K1DU}}U@ zr^twACK}7VvHsZH(c3ul>da{jmnKP}-lIm=&Sf3M=V2bt>Gpc5V2JI;o3zrn$z4*@ zWCh(Zn*vbn4fj@QP=CX2W=F{srxD~ZOm;W-5!X*VUw_1G+5pjqksJSew}TeJKPD~o@_B}m9M+c0tS~_V zygHeA5z^FuX)8GbNiRoAR{h8X2tY+sxeuHE`B(2>UxI#1(7Q+fEv4Xw#;rfU@0jXu z>(twh{tfvroB5yK8ND5`dv`8+^XHb&0c~Jj#pEfYdiP+N?(C~;VR163tVdoRu10%{ zIix_jlGdZT3SDT6*rGNr^VuZE(QP*ZX7iQR6D2Xzdc3oJRMrH?3*ugde|qJ2mKOw| z!Lm}f2es%1d@HeEX2V=pq zDzyMpcweunqKC3y?v#D(;-aI6(YPIoB2LXPRF0$-Iv{CSx`@>~Tvm@I zQ+GU5Xl&W?tDOt_?s|Ud@LbR8;fa{cvv1_?CJVOhHjbLpYNzB!<|h4nexUcg8g8|g z_E^qHPc8`<#0gx~Qd1r-t}(uCjue4DyiEl@C!^V7(`&@-ifpRG@9th)OVm(pnLWF3 zX8BR2h-WkXv2ka1x_=LRe$Yj`|64@PhI4JxiM*R=i_CG{=)1aErcc=qn0URP-&tRf zfV>~J;Qo~c*x}o6A3q-c!(Y?p-}=`-sY|V=+&HdyD{P?e4qBafhS2J30@B?JX0y>X z>jz}*-NcNWeJMIBX)(erygb6?H*&c0T}E>A;Ja?lN%c@$?dFmn6aSx)Bw& zK;Hh-u_1Ok5L9=I-CVh2qbHc@6O`dS{5f;_JIiH_k3|!%FU*2(zunpji*^6xEb#)6gFa{@~_nG(&I^}})tT3~>JFF5e z`*uIBM(X*V<0*q9`sIo*A%@xZ7h^oKww39|D&Tz*?t>F)6+i%R#0m&8vC~IE%(jd$ zz>aPpyF4Zl#sv;vQ7qc$`vsv)^ZWd6i1kwS^5p_SYcoKc)-Bx4G7PeMBW0v&Ad`Wn zhv2`nT-2~4`B_XNi~N@h>)9eeq9cO9P5|G^?f@4pB+Y6KWNXDlO7N$1 zz6rYDnH?cfeu)9)xx}VCwrq)p_`$2dFBH3Z?gcYl<@m(w7<#;EO*U0+vMG~+5l2n{cmG=wu7=i1Z zeH#J!F5|<}j@YJuh#igrVjr%v&tKIl14lR?^Yx0j_$pv!GUW0j(e{RUxd3_oc5MaM zw0-H~l6m>%fZE}l=ZUpU<55?3=n!+Z9O1&`Bm)Mu=r?XgX|#+v79xDgJwr;ohH=y# z19YBgsnJAco^yXH^nAhR$T2%g`{EY<+lcD_0@C$Z}9wuG|$m{-rc-hM^uz}mehB0 zve9AuK}k0;Hh#XKF<5E!$?Ij#S2D4))CNM01$Dc75*=2qylL6cyWR(H@(niW2(a4* z?MM3X`t}hYDaHz&D?s40Vu`M?W(le>lLseFX(~>mgZ-&nFEqfb)@EU>chRwRMMBr^G6#4ie0kh*Fof*B z49AuFwA^sZm7+>(V)&cTh$6)o%6&tot2=Mvn@kmUmcO$c0nJ7?vAac&0q6=z>)4T0 zr`Z?JqUdph(5IPAl_D|ABNIU_7dCOOZXQGtG9zsWH$#d&+u@7$Ifw8tWLnFryf>abVf0#u1nK79HC%wI8zjXfu_v4BL#nPW_1?6 z8nyBE>dX;m>2plOOAdo78Ar+s7480^OuVZrACbC(H`c51)XTZt$N?+(`HCqc`rv70 z&?T2HYN7aFIW#R_e)I6(r+&zxh+DzIPJzxFXm0r)4v6LWqsEyP%Lb;24=kDFPO3_(C3V8POk(G{bd-lS4(F`86iF%|l=?Mf{g0)VSQV~s856%*SEnBHb zLIevzxUr>*#>w3vekw)BaWcNa)h(Q>s^2EL`nu;xRQV3hq%f21tAB}EYDF1>FTV5q z;v!%`LF42sYbiQBZpB&n>-~7xPno$9Z@=8c&o;|=jIJFaMk^s_?o8X4wXc37Or1)l&;y1iKE^Un3>me_%Bku&51{x|$gkA4DL#bjj3c5$mO>_JKx#m@&dBO%b?lt(biJY+Z$%>0;!7 zhHbHb0zz=-d`UUY9Qn2R8z*&{Ri1MleduN$O->&~W8pNY5pcDmVuXw~lR9 zGKGSFI{v}C@#UP_3G54_i82)`OjCQ?yQiPH@otoKXZmo~bP)Om&WGQ(y}f*;nonbMFaFX@K=1k(1GUTSRqdk3by?;&bhJcjfvx4Fl` z#{A$C-uiTrWvxhD?8A$aa>~7#Jd>KeZwSt^mZ7k#OsxAcN%PTkD1IY4sQx(Ro7k_=4GRa9#qMFJs&+v+8f{45hbAl5Q2}j>G3gLa4cOQDk*0(DMm}W z14M4|el=0cYL1)tNb`6$2W}9tbq}a9H-0>FDmzvbmJ zsNE~|;HE+xs&2hRueIZKa%dxnZ|6Vje@*DtDGV%-Sp9k9JRC8o5kWd)X?13+>2zk5 zq4~l(ds>V3kCqRYv`#p+e)+)P!QEAA1ElMkHV6Y+-0u#PXxjVZ)(>x;@6PEhf!6yR zcP;S<$W%Pm1d&pc0cgPS(_KA#wk4BSo2LpfE7)&Mi5jfk;x{>2>-np12TcL{0|3G$ zI;UZ6`&LzOsTLqUL3SfUtt3L!*;GP*+oaTnxYzF8_|W6^s>|)V79lH zvI){%NE8mL=C$J!X;3qHH0U~hH(7`W1iZn;-T6MwUtP%y6w(I&rkK& zLE9C1m>qT;!nUDDiz2<4G2Evp&7pS>383qP;7pUV&+X?s{sz(vSn}IcKobcTw-diw z`ci8^@C>kDt9Uxhd3?G4R++X~2z=Mpw+9^Y_t?tYu21%eVocvGORO zX-i1{iTDA!ZZkMXx(@Sze|DG4N`Y-j_0_pjP9v)Be$7h6*{z?xvpgrZeJq8*k|p*- zd3}&)??DAhP>in|9uX3@M2Xi)=pP$`t2c+-wXQr?*wgWhU2Ug~0Dwa4V4^vbn+ULA z{>osBYH?UEn)s2=cqVAGG(vYuqg_=^2+DzgoGT;)Ex5#>|~sO=vn9G z^OK{A#riU^7`=hGy=Hns<~|-rj^C0!wf%449M*;YuW^hL2^m=j8jI%q&=`@D)_xikTfpiS?{wp_VqSn1o-N9Ix$AhO10wmPjGXFC&p|weJ!(owak#&F zM7RVaDSRQ%f;oyKhoTN}iuc`GXoyh{R2ivSxini1vJSz?)}EiG*=AcE4k6t3uQVH4 zn4&dL#igc->8OBk&m}F*?aZgngu1J0MuIyuhsJxXQbMNNIn5u`daA6$jP1Pwqm@jE z#TO`68jL0cd|PBR5+u5C)35~TG)3&dWW@yen03WLu`x(e6|ASflmngSIlp$&pAVK# z_3rO!9Qw#?HMGe^FntUY83tfk>7x$g5GONRL>&#=0E|uNG(aX;bKjh9bS+c%3#9J5 zO1pYgnpWZQ_1{@efg3V3PUJ_s(1kT4uc~q|ZQvL}uTJy_(FOJX3f0SO{q5ubF4*3G zO}YO?2_>TEHe*C~JNGJ=R0CcP_e51r-o}P|_rF&Q@x<}G82teJ$;8NfwAQjQ4CM?8 z!EvdTSq8$spwbPrdGfv)Hi9$dGED+sV1wV>wO1Zoy$CQ0q(MyZdlZ|nVEzQMF$r0t zr~_$egG^4T8Sq755g56C+yc?{QjB{1mF`?!nnhI^u~jd*%vo@OKh?5cIx8*W0P5jI zR?TqDitk*kzrV#6e_o)JD(MLehr`4(Ful`t1jWIMm|Vx&^*8>mi`m7-Q)(DB271Td zJU7d+6h1bcy>oGovZLMS7hz35x$Au5)G?@rfVf{_Qf97n#f;kgD;?7!)%1YoI6hL& zgWxVQ1wbN**3ASj)D3xzagiCMU+mdUd!zV~evNav`TY)G^1LQAU2gOu$D*ti4(G@r zOP*w=S|;Or<7<+jSY1VZGX3}%GvRm|81L&)_Z8J8zq9ztJ>VgI@|2DK73obW>~Adm zyAW{yHP`+pOX7mzCZm_$KRJrK`!SM^vmjJlX#B|ZL0W@yH)nkfQGqkM*C|6ZkeB8C z;Z{FT6xoy6mW_OSR3jPg)QJh}TTuMenS;v2SuuOqpAf1s*5c?27>GB{>yA&PqEaMU zv~jpgd;en>FpFiAG<|oNv%@*vV4z_#w){q}cwP6)j`GRg~$vZsgn&a;YBtf0qj_Mqf zLAr3+6$zg_`VZWz4t*pj_S&DiR=lE;4rIF)Quz?oF~o|us~2$)w@7@XYIFMb#>dma z*FGfdb$x0BDZz&KZ^q)-_wt?cMGev+w%aQ8*U4@WiOZhs*R|CQXzx+K;ClUBT5_N`z_ z8B86VNyYEfoI;J#Yrhie#f$Zsm%jqYyCiO!#TaY%(T9Xw~ZG;>^k(czi jmsX10u@Cq_3~|YN$}U|4B3uf~3V|dYn9cFBe4qFahLlPH literal 0 HcmV?d00001 diff --git a/vhdl_intro/vhdl_intro.tex b/vhdl_intro/vhdl_intro.tex index f27b7e7..ce3c35d 100644 --- a/vhdl_intro/vhdl_intro.tex +++ b/vhdl_intro/vhdl_intro.tex @@ -11,10 +11,10 @@ Other than a text editor, the following Free Software packages have to be instal \begin{savenotes} \begin{description} - \item[\texttt{ghdl}\footnote{\url{https://github.com/ghdl/ghdl}}] to compile and simulate the design - \item[\texttt{gtkwave}\footnote{\url{http://gtkwave.sourceforge.net/}}] to view the generated waveform files - \item[GNU \texttt{make}] to coordinate simulating designs, compiling firmware and generating images - \item[python] for helper scripts + \item[\icode{ghdl}\footnote{\url{https://github.com/ghdl/ghdl}}] to compile and simulate the design + \item[\icode{gtkwave}\footnote{\url{http://gtkwave.sourceforge.net/}}] to view the generated waveform files + \item[GNU \icode{make}] to coordinate simulating designs, compiling firmware and generating images + \item[\icode{python}] for helper scripts \end{description} \end{savenotes} From 279e78331ba978f1df6c9bd440596c995538adcf Mon Sep 17 00:00:00 2001 From: Xiretza Date: Fri, 6 Mar 2020 18:34:45 +0100 Subject: [PATCH 08/11] Update Hello World example, demonstrate synthesis --- .gitignore | 1 - vhdl_intro/counter_gtkwave.png | Bin 0 -> 41113 bytes vhdl_intro/d_flip_flop.pdf_tex | 62 ------------ vhdl_intro/d_flip_flop.svg | 165 -------------------------------- vhdl_intro/flipflop_gtkwave.png | Bin 32790 -> 0 bytes vhdl_intro/vhdl/.gitignore | 5 + vhdl_intro/vhdl/counter.vhd | 33 +++++++ vhdl_intro/vhdl/counter.xdc | 27 ++++++ vhdl_intro/vhdl/counter_tb.gtkw | 30 ++++++ vhdl_intro/vhdl/counter_tb.vhd | 71 ++++++++++++++ vhdl_intro/vhdl/flipflop.vhd | 25 ----- vhdl_intro/vhdl/flipflop_tb | Bin 1078296 -> 0 bytes vhdl_intro/vhdl/flipflop_tb.vhd | 44 --------- vhdl_intro/vhdl_intro.tex | 58 +++++++---- 14 files changed, 206 insertions(+), 315 deletions(-) create mode 100644 vhdl_intro/counter_gtkwave.png delete mode 100644 vhdl_intro/d_flip_flop.pdf_tex delete mode 100644 vhdl_intro/d_flip_flop.svg delete mode 100644 vhdl_intro/flipflop_gtkwave.png create mode 100644 vhdl_intro/vhdl/.gitignore create mode 100644 vhdl_intro/vhdl/counter.vhd create mode 100644 vhdl_intro/vhdl/counter.xdc create mode 100644 vhdl_intro/vhdl/counter_tb.gtkw create mode 100644 vhdl_intro/vhdl/counter_tb.vhd delete mode 100644 vhdl_intro/vhdl/flipflop.vhd delete mode 100755 vhdl_intro/vhdl/flipflop_tb delete mode 100644 vhdl_intro/vhdl/flipflop_tb.vhd diff --git a/.gitignore b/.gitignore index d1dede4..b963f95 100644 --- a/.gitignore +++ b/.gitignore @@ -17,7 +17,6 @@ *.o *.ghw -*.gtkw work-*.cf svg-inkscape/ diff --git a/vhdl_intro/counter_gtkwave.png b/vhdl_intro/counter_gtkwave.png new file mode 100644 index 0000000000000000000000000000000000000000..67d89cc8bde445c30d7c055419887bae6c1640a6 GIT binary patch literal 41113 zcmce-Wl&uGvo5*_2?P)B5C{Z!_XL8wy9Wk$cL@+6xO;%$I=D-44-BrsVQ_ai^Zwtn z_uU_E)vbFzoT*hcy=Ioo{8r1;-A{)rDM+Fr6CnctfF>>VMFjxhqXFO*`P)~pPpao} z<6v*_&Z5$4Z{NOMT37rF+a+`n*K$#{H+OM2b}|D%cJ{VrOwOiGW@dKI7WOVD@SVZ{ z@E(x<@>$Iz^Kix02uJf7I6XNm*VW@z&^vvNCHnqd=IfMKOt{bx`gVgVrlXal1NA0@ zDyO5F5Im-WChYI;+}%^6Do5r|VnW_ceAGUiySans{$RiA%^~oR#bdu}M3DfJ{>2^e z{%z1dn>%5{7pr`T^|1^0yVsxp*?wWb8TPh7JU{)rAKRU)g!`W_UN_7BABy0xH2gNl zoBknR(}mwp{ws5Y7t6uId~1HL^>4cPq5GO`Q_meds`hcqs5O01(-Z%MyrZ0h65VJ1 zqT?P#EP)j-GXz%dn6NmnAfh8TwxMsQsdo?`vj(hasioxaqr<%32=k)f%Nd>VtRLVHRPL=Y*iK_wxxN zibSJygY{?+#v9Ho{wGKrH&agnf0O4Km-|VTkL;uWOZIm-VE&8n^Do75e?OTyIDqw6 z;I1=+`xq;0(cr=}lB8@@d*NA7{)fYW(zd5&!my3U;rZ=u?9<=p*$1QzhCe$SPL~jX zAts@7%zu6#p~W|)BnmVy-_BxrZLepGeWsB=M!F=S)a;x5uIc(i;S*D(mH<$%GdamQ zj$=<3%DE?R>xU4JkiMsG7^>T19h$4IN-@d7obr**>*Ip>7f(z6%SVB@EHDIbTfO23 zT4oo0)lYdZXJ4`~{t01$ItzM$WcUQuM4xixz-b%6b1w(~q z%OlcA_B=HC<>)4b_1*%H z4;?1$AAA^xna+T%bLDyhmVu0!*3wg}yCDK;^t zk+W!TpJuA{l!+VHzswx7Le-wqyF~*GL%Dc7_K}>HRF;5IGn1c9h2jFZUD6s&C8ybX z^QXTsKeq5o{LO|IKQIjfHH_<>-kNgHsW>@B5GVG&rOu-jlU!{!RB*$jOp#`DwB~W+ z@rj?e(-FtQBTn+V?_Gt+$RnZ!EKGQA1=2;)p!;f6;PHAcSaHoIG}Lrs$N0OpWNdbv zKFep|^Y}T`c})x1NPgWsf~Sf0^VFk0)qVb(UgSP)DByQpRIA@)eYu0k!&v%qYQblg z+m7(X(&RjGU{|4CC86XEV7Zt1_r4cHSBTP%ku^f5HPDR56q4MP{J~J)?tSP8Xl>>V zL}Qs;A@lys|L*9=^g{RwUj#2M@X0XKkgSn+{>Z~j2)--*9nLS7M?a&9KiwCq+A7g~ zUag-$GXXn-^g2Tf2WysRpO3PxLT1T^YIVE^VViH{ zIRo_W{sy6+8%USGYi3E)u*JSEZU4p<7DUC*Pdy1@rF@6eGWmr7oNWG$ zjZPt#nkH7Xt0r-n>K~(h_*Oc+^>XD4cv@VeU#Ds{Y;T7b?kHrkY~fx=J z%J9BHS8*p-m~bg(c`29}^a=Mg_(<%_2eX zx+;#O`kpbg*fi)7rAdr<$*@-;%gl3a%iD^(bX?z)ON44F zu{mk+x(hQR`*A2LdRkyNyV#MtQ1h5rBeCb0G58chdXf97sdQIt8mY>`yN>7kns&mK zN?FjoF121yyKj?OQJA-#zY=^0IyTR7G${o}k5M`lIv9T40MM%ayF{jYW&E$(4KSjl z4Smx582ny4a%Y5WG6ExO)F&Y-Jowbvv?c6cipmzm62BkRJ`O#QpeP!4WKNZK z6$;-*tSKR#-}!X0+T2#G*4!>-M(H?JvB?jeMG54mOxmRURz-Z^j*rg!=fA2aRaG1E znvgFA>n8v9{ z>lhbUVR8!A{q(cf1nGKqVRqcZ!!w#h%aqsp+n~c2mqyVyRMP0$lykA~jE9nH7r2~4 z#y_Fs`?|Qg8CO$LK?SjWbHR|C-)hOJ>kDh3qetk~=tKj+Kfp8qBIY z@{VNumbQN8m@GEpHa~@O#%kTrF5O#Zc|v&Av5u^ zBK`wcmvuG|VJ9iHuzSul;Af3Lo$R{YCE0h`GO3 zRz%Q>oQ;KVm*q8dG$lgI$A{_mQ`sH#ZO7AUpNUh1Z=k=SwQG-wRy($a4VC)nxg4gT z1?}*KZ>6>1ttlS-+C@TupzX`i(7;4XrPk?Qw78C^nS^%8qVHgx>s_ZWLqwGB<89f2 zLvUX6o{DT5HR5Rv&`;@o^wHLO`zOX~RNj=A(wL^7@Op!3U$VHoVWur7N6ss-tkh*2 z^)(h*zHOECihGU6bPpjA0Oss;F{@_GH~Pb^PSCK*FC+W?ZoR(fK+blcB|fjeDd}*F zJ+kx3rdaUuStA+#leJmd!Ib0&Dm6|0Rj~c|?8=k@=RX!;qOA30+9}I`<2(qqWZr*d z|BXSZ0W2+%t;&@D0)Ky-e?7rJ{!-TckfJwoSIYIv=Mh|Pf>zMaVHY-P1&*@n*lTNi36;9gov;`-9 z2y#g24L?49*!;Hh6@Sn6?PX~HY%X4cjJR>`X9b0ZdakhrJ~RGy9PAY-3g;Rn-e!9sYi;_&6FPIRi_XwS|qVQ=NVttEfFye0)ttI>KFvc&x~K zXEAjenhfprxF~t~Xobp7GQP4I=snXroIN)GRlzu|%+yRXg<|OTehsbp!s!Uf^HD(B z!a)up$Vs1;VkfQ(fFCt0$kUxPGc}FeD#? zcU##YyJDz?{pQgTDGAQy_MD;kXr>>XaLJ8cU;vM2{Ms*R)t1)QOcI-j2x|$s*!OP% zC2KWKc?hR?+H--_@VAdN9=C*1A9w=Ltj0LS{%obGc(c@J%P{XxH@GBFX=|&0hTueo zKsXE3t+y0pKfsrN@zHSCMHAP1NZA%ukBfcTK#7sdVjo)=A{;m~PX0yf1l`gQF|6|U zzs%x`TWe3P3D2+h^0txKx|bErkj_mKQ5t=aOb8y+bEoB=H34hMK@t-Zj((zOX-Ar- zjQQ;ahJ6!_(peV9uxhtomrHjN|J#i zKtw!n#5I4*-7w{9jc=#b`uY7kt9q*wB;n(1_G*h_==$~{6k0iV@Jpqv;>Mm@YU48P zYsiLyt!@Eb#6bO)*{)E;$adKtg@AP$#!OMTIMjKyjj&9EIh~vD$H+EO6hga!Wc+Ku zfme@#q0CaX=c9b47xj9)6cslS8v1qPR$|cm8%mhg>k=a&yvLFiEmCBmWyC~&(@v~W zq;M9gOE&VGwO!*w9P+629}CV`0o}zS-aE@z-p{D=5L7V^RHey_Jnv*ec#5U>;G5~; z$W@`-l_p2X#Rxp_p)j@Yb2Gk7MC9s<|6VANpc8?C?P$s^ui2YdEKn-&W7`qh|-_vr;`xPO$m>untM< z*V$kUK}MX2X)EfCv67OCk}~3&oKN|GpPWC) zL*_0VHiO?R%*)JGL@zYi<$rq5iJJ?1wUl<(=vo$ATUr!5J2-ISUdJIeV!n2K7EMsYoMWU$EeGad!tV@J5C>Hw&WnSftPH zyKu@Qz5YG;ov(0PUYRlIy^&w>@cPEL$xj4P>l{5CwHzNl6dE?Uv>VSXO0fG{#g>(M zgS;7}#)P-^ zyC(pHRn&o7r$d-58eM@(`uSvpB_aaFtI+>)od!7lEjw{7hlHMfyM?XD$H#wn@9~4) zER^u>SGe)~N^H%46#M=VZTA+-T_q)WeKzZg=E6E_OxxXqN_zG7kN=Ifc6bVNxW`_% zb-bN!D@l=Z03edS_Dadpb1|GV) ztqqm6#@JeupQ>W!;?$zk^+xmW-}EDPv`n@0L;LgRW+PcyUkiT=Kks3`{;VH8p;U5z zb3s_Kuju#e;<08d!QSEZ*h#o4hewFkSbuV?v%~A)1(}?CcB|RG-rbEE?CJ6Bxi7#L z`*`4@6ZGCg(4aA|tgt~MUwQuufku)hZFFXQR-tMR!r8Zb0T)0u$YyVMpO7Q6?R8$! zsK9w6lx0d*=KkWU(Csa7lN3!a)LLNQf$eY?@JvOekS{^Qw}Jca@E z{<&zj@#^=vnxmn(98W^aHjb{cX8mVRVYU3CWY0G!&LO@j2{}I2ns-6nMdTHTz+cbN zl{z-Byp;M}FxA~4OOcHIvk@A{!7_W zYQ;u|&tsd=U{O50U8Bv2_xT-ek{NhpZh+bFHNet#Sx$$%<dyDk>9l7tLy0{se zJcI;2Y=p~NGF63};QlhwV=t~kD98WyxM;PuKkb}#RBi#MNTO1NIkqJs-2-n4+`8{$ zwn(hp?V{!&-x(s1_*Mg}(Fk{D8HO}!or;B*pk`b-+TFyA= zcs$Dp^A4Gt&U)1U+cL3Q?d29*RG-_-cr~BvynQn@cbmR~F$cWV<}=VYLARa$J_YtS1eFt^b2(X=FK3kotT%4C2;NXRx| z!HM-PZp^$0RJgN^Us!-!=T2`^PR#)dmFg8`Q9Su$34!}ma)BQ$xF* zBS*e6yJ7tj0zum+FF(jWUZ>-_ua(k1L~G#L|D}`Q-+h)0CK6t}@?`2U(>`PcBY9um zRlwQe$7Hwp{8aC2aJ@KpnS!49WYT9}{;8A{jPw&Zzv{-z8tfa8EdK+1I%DzoJnkAR z6p@}*_0KU(GQb!UlS2d?vTq(4MrH;&?&fY}T2Ikp+HbM0$Bz?SN8i>Szu5^bHN!>I zURXde-6>O#_}LX(79PIN@zF3s(J^UZA{&<(Gm;ou_U4tbqouTZlJ?wwA!}mZ{u>li zR#a247LY1E!5#_@EyWRkB?cdmH2=du1J!mxW9FcQ9W`YnO21<-Nz?rmkTPI<4Et7^AVU@#Z?TBS-5YHR}DTdML00&HW1z9>%jaJ1s`| zvY1Yx&(TfH^r^citn%Yl6g?DrtU@Z}7D6>>CYvH4z?bo=X@JZ(lWHh3#h#mIiW9Bt z52w5;-UD29LtMEYX1nEPa&{#O6VsSv%!}W#50t5eu1d*bTwjV>+Gz~R#mtT$k~cCu z?DYUyl0Fv+pF`$^I*N0mmdx!lk{HCqZDxY94a@niHU~OsZF^<~>wEOn z+0N?5fuz5;u5Vfa7CGXm>CnBUy0_sI#faMUb@G9xd!6#yfwvVt>AIos-GBr@V8vhk z3ZKb&=AF8s>3oI<++Hs18S%_@fYE47`N&DmkWCLoADEBw62AP^W4>8<>n%u!G5nawGdgsY z(U!{M$WGgVxM)OG8m3FMSv_Cr6Zi6~V1R18)p0h&Fo6am$4}AJY9c9gTBgpS`^Ny8 zryLa)Lz2D?cTorU`OkU}Qg|~bS{x?!5orZ1>AN^Db7|?0Q7KX5w6{wwDsonLh0J^_ z$1cK(dJSf`D;U)-RH387+E0iPk;eM!BN|P2T40e5_tUbph^C8Idr3;8e{7+~GO}1R z^L`1OzsvG#5~p_*BY|&;i*t~JU6xZPOKC8Nf5E+16itUSN#;ZHTTqCin`?blmA$+? zQ`&}CX;2C{b)g8wcn4iG(>&V5n5 ztPY9ZVCeMm&y#x-;Mse$NM`W-w047w(XO2AKT4_n9LU3*gbke6u8}(Vdz;D)7LcPH zCna1lv02o|=;iplXhTj9bKGdSz6n0rS*&^fj&;3hF3KAGZs6j)NZxYZF8CGuqlYTa zkjeTH>5;T_2@=wRP^S_B3a1s9m?~<|@*eyEE2=!!W+}1wc}PpKc;r*nAqz(q4Ig$2 zOBXX4%MWc|U!(>`pC{-W)poO4K~s?Jb-3?CLWWd8cSm;n6z;99mv5`$qT~sA8O8iH zWX>)kWBp)Iea1ZN$;{s*-?(}va@h;Lh**5e{P2kv9x#ff(G?}TKW%QN#It!>V?-cQ zY`dDJ>-jY=Z%z56PxWU-FURrlqUTM1=d6={la)%}q-F%7HUxCh>HgQSy{Yjn0JP1v zJ>X{;WgfwrA-R|di4Wq-lm4v24$`Kfc~ z@twlHv&see0{0C5c|=&oOpo1u1`8jxVLxa*W9uWVTx2l+aM5Q)3UGUr*t5Vgf>2{v zI`~HPxpbblAP=%K)-&GEZ(=^U@diaY{-;z;Mg9j41_q&?|paz#x>oPXRD9OrR7PHfZ89PMdaYKw6wL;c67A0kM2%|fcMhpQFCr-l+9H>O1AEDybT;>_}D1v)NBX zPw=bKP{#tOu-1d_a${`0(Eg;`3OZ zZ{#Ir{~|({n{zXGY)>6-$}7q!q|XnVtw9q7v9G~a+w^I*<@vQMv;EKeML86MFH|45 zt?Lld3j^UI3YpHel9G}<`p%0Vc!b_#8Jx8IS)O`aI_b>nOs!P0+7%BIu5*p7iZS#Q zCzO7QP0Zvmi;v+8Au6?~HCOQ23zWzHc&nz7oUo;+S!r%4Jy;;+bhKE{%v{M-A+Hc! zlVad;)-H4cGS}Ga_sU1@X%<`^%OFmi{KO8tk6LZp+jxd2C*9Mip8^D@2j{eeByiiWUGG>Y?P8GJ?nwzOIrm+YDpr*F zZ9j;{Q%tX{tkCyVr=YAt3ePV5p?7htTOb_a(=IA|+CA4VCbQkLvsG_zT=8(C8AASx z3lLmWPjN6k*NMLm7hucd+SgJ5X+LUCzh6cP^J|}V5pu!z8Z&I=r~jjFVpGE0;&SS6 zT|uOCgGX4pC|Rzke;vqD1BZab^(BFOl}7g7$Nyq^&p>so#Aiv|f0bfH+Eg){@Gs@Z zMVU$xUWX19jxB{|_of!U#l?A|C<&5*17avLGDC?0kFkmha=@JeB_{ivuBqMY^35g@ z1i)xe#MiF>0K85!96t>hVK>`&jqPh@_?X%;ep2!B>|a+zACAJRN&{oqEX^9!Ka5^^ z=RI{~^Hh`U3SCUs-IK5JX@~(d79-;i6%)RX*P0bg508>Lo^E0fmF?8MT{C0%UaM-i zJ+ddz)djkR#?1^L08s9A7TCdj5b+hLNNR9%-ff7YR@i81<{K)bmt0EwY5(~PrY_>p z5bG{82Q={Duf>eP&ujgAEt@ZcudVY6{%!;sj%!@C`}U)!iRh+Yo0`8I-eir}*W@t-sJa?3ceIzh&-N)MTq#u1%_Z~K$mQB?8{V2 z>!gP~_0U1;%gAw3M0j{Ips%+Nh%OC{1k&d!k4X$jo;z#OJRzk7k*@2H;;?4+Z*5+b zn8+y8b>2|p(Wrsa7Mv`m^L|)(HS;PjUF+557u2xl&&oC?01hWuUJBM`?e{n$jR~^G z%bly}%!1zYl2mFj`fb)XdQGf6-S`{4oQ|&%AiNE#RvYHwVM|XGP|LF)ANy58-h*FW z@94x)8nf93v}fnsKlGqNM5=rENOWmVy0ojNz95vyqNQG?XluXR9xWysPZiM)Pb*Hl zOpAXD8%4&zM-qn>OqpW_)*WJUc#{+KD>V+_y2cf-2;TjISn7Xeo}Cq2eRbjDeC}{F zyClH*l+k51&NY-w9Fl_9Ol5yWjtTbX!tH zB-Uk98~J+6Ox8nlRUmby3ve-f^m_S*k*&viC1r*Hd^)PXSa9VJsI&%6X@nyF%8{-( zMhFPv2%ovwz2_G-#^xOGf1J2ozvu>oG2PW~gtcKM-d8LKt2EZe^My2d-wiu`SZbrd zZjRe^uqeU{N1VN4;d*v);~%?O=}hi(I5b1rijjyJz{lhTO(VQQ^qW^L#A&%*v<&r8 z?Om}NwBpGZMx;SnX6b}Z_Nm{T-04d>>Ucbjv@6=q5xbd4shV<`&w%)0emLU%V_qo5&^j9zRB}Vi7)ORSO$fQ9tBL7yrTHlC))%fAh8sr!2uK{2d9o^%>-h2VavI6NfMz1IHCczXv?HK!p!6U3inq?25w*!Xj)2PA#o9%H3J>H@ zre-Ei|M|nZh*|D+UwXZ;Q9(jh#?!7K331U~iru8V%AihYhK?Nwn#-iUe~a4lg%ZUn-MsEL}-=`GZK&u$5fdm!~WL zH32VMs}t&G8+P_$sC1*~!nb{i8Rft$)$4f7aRw^sSFBXhDpW|ooC@--UPDw=h1^b_ zMwxhY`~bPPO2NHS!K4*u=tHpryu2U=&B`tO>8`PXQrgIGrDrJ|cY>8k(>gncFSi|{q%bF?@WeDL>F=)jn;C9*?Ms+eVOIs0F&HEmIB%X$De0+& zup}D^^+-3`E%8b{d3al6BXVSzqam@K=l$93UNyv zrv*b*PU0QJ#2i9*LNi-N5KwwC0LdfI*>n3@o{rmO5x%tu>NKC71Xc_UUn}7a**|4l-{7sYSE0j*ZBC zp2JlkAsP-Y3gPBrQ$}fbhL-N`ZcL+jI}7+Ly1}1(gxAYlPC^!(M6nhLH;>7suYtjP zSHs6kkulV-X6DzAdGn*u*~{tGWi>B~axeQtQAE8aYylhnSg!&BH5qC^__92g^$Hia zR}LiEWEFl--Z&Xj3MeTr&|;m@MZi2Ws=S#w2oD#(2(-n+6>~hBLPzW3wD)4Cj!ohK zVdwY_Qb@;PhZzKIgb9KR&{WW4dQ}KHuuRXRh4$`m7ScJ6Xk4P3X)$W7X(x`iEnOBS zA_Wxo1&Aez&wNiiKemfs(CVCH6eaBWgxX)n$@)Mw_jv zq{YDT2aW82%4^j4D$mC*h%k3V^EpS&b6#Z?p( zH00&8_?mGkF!0XRDsk&j5` zu^nDd=%LCh6f+i_g?=kMinBg&#cJ&=-*swW%m$54u(ix*1ql^VD@z(UAX;HOwRiK3 zN380F>Z0$_M;MrIZ9XF&pIJqlP>o4So3SGs{kRc0vmr~apNz50w4>%HLdrBIK^B9k z#51qZTvV)P6+9WR2~+f5O7Is-66jSQ2|5|U-tnFhWTk?cu7bz8H$IoGes@Bxv(bfP z>}*uZQQ@9AlNyB}R+EAm8+h`Zu=V^m?+^#cE1Pe9M|HBg~}QV&{P z#kLQ`mq(4X>uB0#;S1z|RTr8)9+ntnt~)NIonF>rFiSGh6S*m{%_K`R5CyDLdHQ!? zI=`DD1zC}2z0Wu~Hc!{paa0U{_g5n2^TM*{Y5{&n{EeY=my5n;%4+{)IDFJx0oOg+eet3*+B1n z2~17U4`}TCjo;g@0%7n8tHo5>UF8@)B$QaA`)-0uh_loP(+dgHch2t82-D7IXaA{k zV9dh(O-7HI$xtZzK!TE{DLvi&UKvQem82sd!_8c&cLJER7j@eNh!*W2)J5gCk1kl9H0k%gfc~ zi*5gohl$KK=;89`#p`?JvU+^x!ZhJX>L9*a8hihH!dxXaO~VgZ;Rgo?805m4+3J-u z1|kZy=_Uj}8F{plRC4+J*|Odb;)22B3l+!~G3q>13ptpzYNPAkHEs z_(=1-$vy0NC;6NZN`rZq&J@FCI=pa(vf%af4rejK$6f<8_0r;VYV+TZK7=8iI`( zQL~>EITK+tx!Nxr^qrl=6==c7iD0ucbY&N7HTnWIN@{6Js;^E)pI{A_hpx(EMm#(` zqSM=kFnIXj1E{sg?OZ2EEq#Bht=aI9Pd&`wSSw2+q#;~G4}Cpqc|pD zSQccxTiL|IzYV!<@T1|(pX|DE1BX&NrynA2eQn#VJbx6tZee|ar!JrZfRD~@({&Bk zf{VY;W3o+C9%N-+WXNp1#O=_VnS7907~f=r~&YR4b~UJ9%nk7vC7;{->^hHv^48Lql!4?gBRhKiiDD=yn_LTe;2~R59g5 zfB6bSw+)sAN}NYbukivHz21kK(GOFP@IU@d~sGfzPt9(M-oGMF`R zSs2dzCnfR9%FZPe&Y9SDf(sbgHt2A|1d^G;-vmHFis>IqxnMwaWcPwFeKKP%e>#yp zW6Une@I>_QAr*F{cwuz43HWV~7E{IC$xO|{A*}H5L%G0ass!uB#ZyCBzrKpGqHTe% ze!2>j93{2+HQ&MceGh2D6{RgkrE>yPPGu0+)t0-bNLmG_2at|$Z)LEUzPGmQqmnMy zua(%@&{0<2IoP~`GE^P=f!zI!+YRiG`(R1Z_lV4oZhyo^X$Sw-?%ZUQp*R$Kki; zc>2}3YR|*ijf$34p8%_ajD$++J|^8<4F=LfQpyiK0{ktoa;U}q4ra9RJDjZ!FSGJa zeCi_Z%#)hW{6l#5=qiqIThH}EXtjC>o&g9?UeL(V?)3~#B zR=hQUJFyrD^pb**9&E{X1BsJnvGF^=o%=weDoe~yR}z_0BxmR$TvU)J+UhaB@$(Sd z^)Dx%R~}AilNgtU!rO}p{ovVP;RC(L(ku+&sne&)L+9!K+dMk!hR~sjL*`tG)S0k}Qc2B`n*mLzzUi@Hm*OoI z#3=WkoCRh;o!2`^ejN(6lq`oUvV^FN++>X=;*e(Nij=B*gC^FeG|>3tW39q!s3YGq z1vY$eaPVTf%CfJdT*D3iOz(m?9ud*vpRfLRPJ$?=UwaiU#dl(3`L*T(u1{(0 z^bY839ZfLdT&tN!2qjI=xwiDHSo^tu`BN&Qc~!H1f=8i@?H4GSI0|vD%5ZmMLq$hp z>jeMu-q67Rz}_A=rGX&o!+-%L1&fu&V04lD_iw*Tp-$nwr5(BJr|Ui1eCqtRIUl}t z2y5ZWYg<&)W7o^1q^3$@^$z1Qd>yYDE_KR5>JTuTzdJ@eF*nmpBX`IU>)H)fxLUoN z8leO?mA4JbSn2C(K8$oc^_h)@E@2nF0^WsmJ|489Wu%q$ddTw2K-GQ@DU9obJvY-MP84t%ZkheYzi2xqY*&4- zE1@V|DZeMd<)HVcg@qDt8C6wDFE5SxI;9e|(plORW*sZ)dj9jJ6Ia*sYX$0PV^K{1 z->-}M*e*bl<0WNP2mw1gg@uI;jsqny0=6AJiOYOavaAT{xtGILq@^2sYxNQUz;? z&k1NF5y;El6}*rQlP%rFSn=L;8hL?yY#xyG)fMKKl)mpEL8QF-n^M$h>*eE) z<1)AXF>xj~Z*r^m(0T6N)u-f_>u1o`QyH0$Z@$!$Ui|G^%mn9hDoYj{i&|1sYn^{P z8e3MSz+IKU>P^+kxmD&TZA}-u34i9*y%W~a@>QaUbQ&8U<6PVsgS4|JZ%KM7fEZ=w z<<xI?$2B{;;0ei|gnJ%g&!nbZ|1v z$wuUVq>H?aCVjIP%a@dM`ze3JuY;|-80?A`{7C>!;i>ZK!! zx^Kr=m2crbFFTf_6RV;rwz0imGuu0C@p~D+ET2hLCF?I%A;SqZ7P{$N{`zhY9&S}D zpo*9GQ~&zV)TTe5mqwZv38xPw!v>{+*Yoyp>A6@Z8NWAk*&2*l#lbf3`*iPG$y}MG zPul%CL1ske2Ue4*{CS_uxOI;p^9VtqE}rJ^WR|= z=jPJFjnk?y#T@YIgQ$F#q-K>KUBVEIsP*{tilLN#_2qAV#BiK$$Jp-X=HtYQ{ldZ` zZVKL_))FTt=VP0_zoqAh?GIHZSfQ%Ho#5OSMA;catQq<+^13uMek(t0)B2uUV_s3e z)42PKA`M;6>>z_`G?}3GFBqKovdU(yA&xL;!}m+Yz|ZgHCR`pB_;~q!wc5?DIuh4z z&uUQMY%@1=X)O$vnb|U#fUkJ52|I-0Uf1UM@^GF+J=>Q-*GiFDO}2xN@NIH)br~ikmo~!K0-<_! z6VReR!yMX<7(F~}cHV(;)qEGH{d!ErmTP8&U{F2Rg8l=8Dl^Z)mbDC@)wRuUsnql{ zHR!YL83{T*;D9&2?u3Pf-Jf}`B$09c)9VJ37pNGR*k6H)QwGkheZSrMOVClp@x77U zNe3akGSy5~m6bg|X`G=Ji1WDhqyr7sXb2JaR4KvDvDXlY3(lHe(AF@}rpJ>dA|gU3 z70%2s%!i;kF)ct!t-2f4ul`t|?h_WgcJw%ca*ea^PMuSNrRZ^-7+Z0P83M~9b(N(9 zlcq~6E1y$*ABO3-5PF0Ni=w4e{nlIk^$DEZyvNrkoY65d$1O^kkDqS(;1cRx&qRE1 z?1xZSon54J1amm&e@s199YF=mz72(46UngOj)@0x9FEMhXG6* zB2@87qM$i7RmO|AtU|nI>2~40lD&U8RSgzy57xybf`ussMd|B_oRmYA>61z<>1Y%( zi;Hc(5~x-!&f?HET?=5MIFKqT3`W#UQ!cf$a@^kAe z7+6G#D)K9Ib3gt-K)>0k7B76KQ8j12Yk}Ik%2lTE@Nfq*Gk0?-dHZ|N+&NTcq0U4s z|53-PCQ?pARsRCk1m3r#_JR-JJoID0k`U9?)igHt18KIZEQnxiejSzu6>;-5cNuY3 ze%C)fI!ee$fvnC7`Cv-4h9}RCmmz8D>Fd+eGhn=U@rrcLO8tRH@jmGyF#i^rDe@{b z(9w_0{?iqbQTRP0gFTF}dt`f#%b*D}`?5sj=C(d50(lIDYR86(D1CkXm4Xp`6l4b7 zfysBLVKbSI8_;)R4DWCFYei?Ah{I~)%TKHSh@;@W?7&K z9W@O}F0Q3Z{Ayi)aYDfh!Hx3i8jMU8Pq&*Bq$K5wi!CtfT$BXX03U%-yC&y01x?Yk z(JkJbexkhl_&i*)z`jKdg>K3m}=?(B>xUmHsI+k!_~f8}w~U$_>G>+VKx zZLRY*o=j`r`z;EHFv^R}J{F(*IhO#9Kk~;~I27{r@k$!vB5y0JnUYr`e!RQ8xqEPM zykl%?YyIbdOGn<@TT%vk($}}mRnKHqKY3XkS`wLF5OMlq;Jh;gj(Pd9yfO+clYu0f zN*?^aM<#Apm@uD1{sJ<~{-(tE?mO#`J_=OlvIxOMeV?Gcjq~xv5$GXF$SWk_2#`x< z2IdaUJBRxyBQv7%wdvb|KXt28c(@omiw%}?s_DlfZ$EwAqKf;@Gu|ud^wj>U5Z{E2 zbF8Zi&cMD4=+P=fY;(YihUIVwJ$1gT!E|e)s6D9$+z0^gxH49Uow5izg-AZ2e3 zD@6ibN;t5mECylBwDtP9zato$OBeC$Eh;Ns^d_(O=vrkUtg}54)=X;rz|zkeu-QWu z9rf`HlY8uPaa2iqwV2|tALMLxXdp-U+3v$X{CuKI9C-{+tUG`79>>g)?RA^zH}t?v z(KhtLt4jt_7}rA>im-E^hTl`YiU!Ashw`T6?NrZWs3PjX0U%{4)~G9nQS zrE6Wi#G4YJdV`jNK*lzoq;4b?{<#f;L*|F-%O21T)rg*Z{(YPA@>RHTVUDuwSA@^c zQpYn(KL30E;l=xZOi%p(5p94!mWl5C#Q$FB?Nrz&Dzl8|RtVqcSj^Kmj{;e5w#NS) zT)Ok4z>XLs4dw^_Yi64cr}TeMak&3~i*6G%5qX?e)Y4e+_`erOz*-TT9w*_944tVn zU}cJ9bPbZ=nszYb)h!EXgfm!yC9}zTj(s2yiASj8$R3Xc>wKWQ<~-b>gNkx+%wU+i zls}!GU6H&)DfjHV_hxuk-ODlG+}CFL1?Kw;-43KGzwGi_z4Y(mr4ypaQ#sCwUX zEuti-lXPx$CY)zRCKM|8>P|#NxJw8EqiP#FKUI)gd2OC`b~q5h0}fhk&YBL3*5uU1 za4_1R9{<)0SA*nXG!4xRsnF$J2OiglYPa+A?Xr5{XYtSo&4Ic*zyIKoF)d?p*X-Sc z5uNzl1T9&D=+Uj{k|jmv<>P8nZm!3z7Qv_RPSb|nx8ZVJ4B9(~@5RB(t`VuF6 z{5Ih>y7ZtSii8IIRCBAJMHkSo;&?LIdrL%{uTUgrt2*f6`AvcshwK*Q#0NV@#dYLB zo&)F206Na{P$orVQt8iPzXK9~ciK{T0N9NUU$zD%6prT*4GxbM!REi=J_|Fw2@^Q6 z5dLR;v>zomjbrM97H{PISZQ+OSee1lDrY9C7LDznWx@#F% zj0e&T-2I`ROBbA1dw1Zalq^Ke$gFDf=3+Jv8?0FRQwZPbD5&GJ{dRc`Zc4A)DjdXR5wa%FiH%P-gb3|* z_or9iFN8ft`aN%a3R&g1I4hKomg~9Fb_@J`8~Bt%a=7C)VC($|J69iZSXyN*+4XYd z!;u77a&PLPL3m#K;rVX1LmIh;2Y5Q=t?uP@s4lthg747J_Sl;8NV(ir4T0rMSB# zxD%|!-QBIYyK^_+-#IgLXU_S|%$?7@H-99bz|NL;ul25HJ@2#DcDJ8bTSQhbUHT^4c2B?)AXp96iz2dcGPSlqYal>}Ivbq(gT6yPv?{e+RKXpx0$P zdd4@&8%fmLR^;=Ex-R08QWkk5uMk6ynW^`5_AJ0jtR~9>5%2Fd=x^UHiu%kWP+Ix* zj&yOSi2T8-D57-0wQ!ew(k93cOIM4eB6_a6F|9L@0VB9m^0vOTLRn!E(iA+)`s4k} zb;97~oEl1aK{Yyh1*^i_%t!B2Jf*QgAaXvs@XKEJxrsy!W(lweGwA|<+j{IU`m=%@ zIhVespH`qh1#Lsl!!w7KGSAYMPwXZPs}v6>wUsS)7k|g-cOkY%2h->!Q9;1W$;>U4 z$N$NiACzg=Cv+>eS4%~q(z4E?chp)$-_&&5-{s+vw;9vVcO*GFK5c!qxJdm3B*8i$ zaxZ(Ay|NeC3^p>P@G<$-a_!mw?o8{?{8T(FR?U~n%f$avRB>kZROiYe2*g%8Gg@Nt zL0CZzoL~ObYQ+(BjUqI=$p?fyIc3*%367z9AtZIuS3$KuSe!{?dk!rzeh<84CK>Wt1f7~#?7d7ZJh^2f}{SM@lWZ9#sB+FYPWyzLo7PD5l7mZyAbP`!ar7EOWNH>G174#t8lK zJk)(T)Wih!?1r_{YO)5M_#3X+eM&hX15d9>yBQh;Sp+!+3hw{F1A!_4_!bV4FD-UO zGpFZIdkk7cv2|a8#{SUv6>+UC{YG%PED%{#tVon?ioTb+>{#Q{%r!83@%S;QLW_Ac z#obe}zHHxrb1lU4?iW6dv_GciZZWCca~JGozVPa)dFVuF~TxMcF#~ zkYB&Edt2Z=F%PA?r0@!^y z4E*&}-YDhq$?PHghW*n)n$7rTdKE!h`O|Ji*4H2qKMCono=UD3Rux}HCiUh9&%Mua z^2nBjQ%?FTpzX?POf4~d*_uTR%O^1<$0Dh3w>)8>S+a@=8|Tomw7SaWD53FaXc8@2 zdb3bWzNymh{oPLu{56phgAW>Mx#h{xp_%JZe{on2^Ax&b&NZb{J-G?MJT_qmWrQ+G zv@D6coL(8gQv3@t?v4=&>s@vuXpPkXX0n$2%;ePat7ibWR{q#{{P8>W<(+j?o1HDu zOqY3-Y4l(uEoP<>#HKWtNmU{$S@Y6xoYzhFfJeeQHqQ~iku{oEIgd|ET}ieF%? zx-bf`Q(s;l?L-JTNUJdGhyNFhCF?54Y_7$liO$5=j(0Ja3RTKsrP_Lt_t)1Q_*$>R zju%Jl-1&ZrQ0P$E`3KgLuJKOF)JXW%R~@YS_ET4%2~7SFM-`cCvR%o@)z_AEQ8VVM z`gS~MlMO0VKO;Y#B&%Ktjow=O6?J16eSS)eoRuXqwe?xsFT>xb9CDY_E%Azuj8eR( z^gJh@7(Kmvbkp_qCz~nn z7uHl4YP1Dsj6cGDZ~t-9llJ}i?JX`O29sv>aq4-Gy1c@k(0Z-ZMnI&~esr!dvSvk! zSNPq>Z#ACh=%{XWPOMd`+8!F8hRso@8YJ89*Sgl-DDC4n>K1!&FN1JnTlUwh+#8wk zop$|e?i0_$B!#t^o2ip#4}80as5+R*%}uiU<$df;6(QCXR2*)b{N{0~$$n^5ieY?B zyT5I`02H!;)q$A!C^i975=syH891!`OV2_&&wU@oYL1opV)I!U%69aPHW8t!BX>#t zEK>m%3dp=b=KklpS_A!iqh>ePGDSrhQ3Vtb$o%ySSbAys_8=ltg%h7DA~|>8liXze zPCn0v66oLX(NDcmg8)>A26?ylO#(aF8s4Yy<3YaB=mo zDKRky{8nYNF%S+KEO9@*+5EhMwBgM1XL$;;v`M85XmP)tk)**YI(GAVbCqA5>3mRr z?$SnRuJz*KSUufQ-c8(jiTi9~M2|tmy?=!k#_4!$&<3Qg70(;1@&}ct`b3`X_TpUm zY#s*i9i1HLW+nv%7F-qalSb>M(Q}$$SpQzK&t}d_u5;d;%??qW{@Syo+Ta~u33sp6 zyehy-^Qb|=t$!+RZH>&#Oh4XSnt5%tXv*_&eP>(Fk zyWS#j1Sl>9U6vEvr}SM)uBg)AYp0@u#++jKrOBv~9e6=caH%4@;FFCv7BDUOh(?)j zv_E<{tEz1Dc1?*b@~>Xq+WsC4KaN2y-pLQ||Fd|<&;RkHAkWf=5%shGSA!a%@j0}g z;ra*GRfUGKG)23&OHG)3$^4$jZj|&;=hnG;ljBD1nXT@zG%88amFQDdA=QEL>6~5_ z(!^kmGS$rttio z^Bd4X_wvdLxd7iiPvZ(HHHp(8{~O*ndKyJEwMQXD1TSB{z_s8a5l&T9{p9M}A$;qP z=~1^oE0%igv%`O;sg9ep?9lnY|-G`aR2x&pxiv6uu|dGv5#eEk?Id2wZK9gs(f-mr1fY#SHic z5ao1`#9DP_;Bs%tB$5+U@LV0WjjD;QRhChUs@miM4$(N~8X@EI!~farD;Y=@@71BU zNbQZ62Q-&I5050wN?jyaxXO7Jr}#+sDae26RM&bVgAf8q@6E_vaC6cmJ6MXH^TAQL zksx*Q^4s&)ZES0#C3m}8TiVSol+Kh2L6*3W4=A2^yi8NS3WhTZ$ES~3x~R*J2wnJ$ z*m;m(ki<;0D7zB`;~y_*k&8ld_H8^G)wn;IocC=ud>V3#tER<)QOpu|dsr|Cwl$fV_z|g3g1c?s%M66C!XHOVwb7gnyxwkFL zvl?sTke`*@^zHV#d4~5md zki9`lc&f?#WwDu8s_@pm&!L^&FZ;D^cZ_$uly?QFEWAMU{rGkaGS;Wf)3{-govTrJ zVJtCIWs{to%$MCiTweC@a&&gCciW%uwb-}2=PQ}jBl}YNGm}Nh(o$FWcaMYRw&`A{ z1%1q9owggk5XifXgwRP*Ka{EKTEScxby-l z>*-!R4;&Rt(JG{sk&(&Co#=3$JM&R&;c{Qu z{vl|59sJvTIj$D_6?-qDupyq|cBqi^T5+yx@P+0jQ?ilgE?%*0@fjWz>S%m$_vDWv z##WV&`EYN&B=#iIU{`p;Pi&SM-I!yAWb%ZKIrB)vsCay)LR%p)6*U^)-)4OJZY(kKIvVU*F{2SAK?E4Oxnf{gz z`Q#294X2Bsgunv*eFIN6`obEW+Ssa1*^B&!6WBY zD8*if(<;Y4sWz;$jfXRvA~dUL$#s}^H=4$cVqp0Kw#c=u&*mV?ofxMqTqJs=}+-Q zf2LuxcwlUlj98mMX~Q@05IFJ^Tn*tam;%)1=BqM92Y0M&>cMmLNrS%A)zNsmUV}}X zNAZiQld&S;y{2+EnH_MJKAS)wDgnD8p=&6BjhUzbk||(Eny|TPP_zt8p6KzT)Si{Y zz)|EnNo4{wpK&T=h=U=!%yv8ASr$-<;?MF(W2r04GzoS^o~Us^>q~Dd zIeml#o6IS+a(G+0!MqkYwK2;|Bt1;mnmOKVtO4%zp=QXxKN?eYUpd~iJM1g7#<0gpC}O1f%ARe1P_A4l0LxbLnR{P z<1MVL5|fg2l$5;M@dH{M?CtGcU43pY9Dv)vr#UscU0I}d-f~>o-CVLbn9kY$!yS3t znkv<{MpOqR+wb3OJxzqKUcw#z+HN*bP7)(C24aVMHM7dp@N? zwYs{xYM_*Hax$)Ei4AjQaq(wtEHF7Vl$4Z=d{AfHi}u~Uzzs*E644f7T5G-9jm^Zi zp5NW(vA;qW(X%yF-8f?=Qcf+@kq?xyT@t7Z_oH3uDinFFAgDHB0~E3<5yfrsiL9wAF%&e7QEA;;}?HC_VjZpu)o_g zRjP`hJ}!WsL!$$IW}M_G=N|u-D#+?E`QErB!Xw!__>9zi4%1Ub)VnG1dTXg7J25FYe4$TY%AO zbDL`7Cerd@UP&tjAR1^ihGF%1D0S9DXt7B$LNbTJm+W|GpWdGZ5YCd&ok@(PjeJ3h z0Kh8k5wz`oMI?Q|ig|ZO*Hixa^FH{d56XFU<3!a;)RVFV9oVSh0Q1&^u;#)Vz`BCTt~;)qxx(KTc#P#iSYM9TV#RL5+~$t9qYZ3z zrkX^Ok#RFE6ZO+`N48!9j=dkw<;{37t5{EGteRu)Y=BlFbE95cU0L#b5zr}YJ&G7) z4mQPZya-ihp!N2F6kscXfqqc#4d=xQqv}gR+x!0AeL9m<+g-NZNoHMvVTSi(cekhO z)C-jF!neWH;P5|;LY5_puASX~La?ju4%gcMsF~o<4+7KwiH3f7Y>1G}=w=J~@AFGoIyaB@uf8W=_5;+SIST-*;Cwd)D; z-4ZHveA+G=hJ2qtBxa{3EZb!6WI?R(@*6UeF7hw0du9GybZ$`!QlP&4>}K96s4Bq>a{U74g!L+GUhR(9()3 z6T<2V9GbXM&wo6RKWs;ha zJNX(MlcYxA%x~|xA(v|FL#|tg3zc&!Uf{)`_Ry!EG#SA>Ny7fy`vrthH>iJ zo}93&Ol`BXRAglO8%u7>Ip@88lP@@dfl~a=r_H9<>-&w0z+GGC{jR(2wzEge4$BtT z#l*z)psqgDtT9d_kEONqN{$Gy*2jG%@3pNUCW*Z@+ubfjLFBXdJAJSf3@$s;ME*W% zep5KH$iggVyL++xKB~$vn!rPr4%~y?VtRgTJ@uo@i^Ruup_DYA;nvF{J)dn4dGsmG zyiFayVJ-V;22-zuK5di_Hb-O|&*4xMJ2!i?R{qWK#xrJ0Wp)LzKy+qq&oNZvITO@FG2|T20S27Yj5RFCidw@R(@u3C=$P|^C(z{ zsW6hjPjH!UW(E(c7%>k}fs00d zYK{oB?#wOSYgo*_7&PHqEf9S0k&c|()E zcz*Z}ifyJah0WoB>%DHiU`I8r!gVLl3gWjp0d9nQF?9Y<0Je=lRC27Ev;s}W$x(wQ zZbY{DQojD({y7*i;U7jRqW`mcYXG&I#2fZV@3$2H$IFyQJ&fLcK8wh5obhN|i~CJ1 z_pTK3SY2s-wGjtM3gz9+egLbkX|lG-#^bc4MGs;_Si=uxoUaZ{m1K_-@ERn?7-A4H zp3>6YP0dYu-m2IHR|B}D@Ca|;f${{1k8%NJxh3|5_qPw%v^B^eMx+#=w(0$pDE6}SW!kyHXQ1)z10?Y{JG1){Q57E_s#vF+>1rly z7k;ZgJdqf<`X-$vql{91nwJl4Wk8r&Ai{zw;=s7tctCBHJ~K1LzG2teQcFj|@z?O= zWR0k&lYnPf{7K6|-3I>}n!KNztb!gCuD4t6dAfoc9%tZM1u0YC%B9B*f&h4AvboKq zFiAYIDA3lv^;i9QRRJt{sd6`qRGbK?>+0-Q7RvCnVDtOQFv&Fu$NSE$#Di|D_!= z>YM{r11Kdggf`g=v8alsE4@NK{fHrS>-7{w=;#n1UhNf`v5=>=>5|W&kiRva@+=wq zW;HIhsLf9>$iUHck2{f9!PusajRB0ODUio)(xT%b!Jiuv66Lw=kYA7x^Q*ajX*$7o z`%6M#AfpXEvkYbzn1fy!U3*$vNmZc_=S$09hrn0hZaEnyveiuspG}!Uk&WUkp1F~A zRw*4V`^deVCg%ab`#_=L6q%L;W=gPbvxDmoxy4%)3j!zU9BQUZYZ-DfYlpn=`jx>l z;gKe^w6Rl?KG!lAgQb}PA~(Cv#$ig!mc_wga!i;Q*yQ|1y>Yn$inCo@4xVPt=QmCZ z;_Q(8a7y~C)17VC^?ly5-GiJuVj37zW<^qg_13ADI^ufiQY)JS{PwNMlqV%-?<^@< za-W8jcDxCs%aXlKtBMDl@y>f;o8(^IIHn|YV6wUvvV!?b z+^dThes40~e5wtCrq5LCNSOpmx$JlLr=j^os+}2^t?UH|N9DJ{CL;fP=oWc5Uj^m9 zX@Fu}X`+qR=CgmerszCk&}CBkHV+Qspvpw?&S52GWRmj!CfvceZR;;XAD;SVmU5tkP+)GKn9l&HDH22du;7G*6`?J6#PW z4Ttjj*@9no(Svt$d-Ccp|{76%UA4al&5ULVZRlLjqiF zwg+eP8_oknzQ^itG7GHUw>NGwl$KhJ7$x1ejsEJvQb&5XP9(P)9@aIj(%PN^6|;t= z*GJR+ML=Km4Rs?RiTTdIJ83gV`BcsP=^i;hO+vYdps*xM>^_MCgs(%G;-sq~g|uH> z1Pv$Y=Y8@*nG;gL%@4I#Gm+oe_tWf3k4QKRzpJ3c#2<_*yL*c`9TpM3F&&QUuR4H) zood2`RcP;6@iNsZ&NDqFoC3fCTxzLmFm2?|?sbQ2H(Os3dW4%*fJKMx<}~Rlu@(pd zG3Gq$aS@vlxv%6n-w3*4l^HhH zyLBG?%oiqfpYSH%(i6PwSc|E$*_VP>bz)b?RbicYIT|UQ2u}_N2;Dp?ET2Nl!Oh>h z>73rkOBd%|2?+a?pAeI~+G7PBtt)rUZg)*|Ty_$d%loM(Tsnj;Iah7gado?n)?r}Q z!~RYA$n_mLYH&u{m{FtPMJiKu+G`%p;-5N-c5z`IB1K0OaXR6x3wobUq4W=6xsQnXb-2wQ&B@8C*!O6 zU-3gwYxt_q?oKT3_20==6nc1q!4o)Y-qe$)3RXQ@x{qF)t2vcw>{M4vIobcvU~-M~ zD=!4BfO4`9^qIkHR)6ysD4ENpq8#d$@k&!KA|%_TEaRu7pqZz(c#8e}ziR=&!Lkan zy`A*}2Gam&C-!&WBtUF_*uM2+ECDt;U3pZCdAuJ*qTVsd>LP(dH_ zVL%qSI95~B8y+gIWh1IV4r7E__y~Dk^R!FN^!B#r&J{uHI8&=T?ie?>y-zsK*rTDGr{19%5PdKd#msaaCS*{1B&?H(Sqc_ zz=wd$&`^f+Fk6l?$4HC~M>rpkhr*JSvR61SZqr88UBdUtuat-x%k7<@v^2+`$VkoT zakD$RIPfET_M)yXA~N&`8g1R~!l-7j?U0F3<>=k0$Y}Wny=_Yu5kY-~Dtv20TbQ}{)2Vjr?eYh*%I=ujP`O>R?Omztzw3D6M z78yzh-->!V{_(tsCUhRk!`LJT(>v+1u~Li?6w=nM`-f|=zXcNCmO81?jzR9vZ$1`U zs*Fr#z{3U>&%ep^rJwnlRXhrLl*fujdR-wTLVq|(9a6SSC(K6aq$CFMuA)t0xCaB5 z62je|Co_GzvTR ziRAmUpaN_GLV^PJ=sk|=o7C{T)$#2|_d>yf9I(rkxNsjuc4ui2XponC8U@77zFpV9 zNv{bpwxsPIP96E%=~m=vhh68(7>fN~ zEDezrZcebw)Ns>SeoEqu?%i4VfuMFVvB=J4y*ej21FSjdAFY2&;mitKyjh!sB{rVf z{9)XaKD9qzWm!m)VsqFPaaxJ-n@enHtr;_5xxX|MO|8_&jM4h#+<)Yd_-scg>77H) zF2Wlir9ljq!_ZTOjm%V@rwLlbKv2y270CZ-#*B6yFCx0Z-TITMPTXv5q{jJH1&YQE zy2mf4os|!%)ZP{Qvy{ngrC*%y@_m%n#OL$ZJ%V7LSLR2`D|pa< zr$E~N6AI*kNlfeK-b4ZU`H5?Cza*1 zo55le7@?|rL*&(@x!GhwTA4`krSQGm~wZb4+rH=M={ZA$fssbu$On zeZ97}r)DAM^J{Z_nKL?QXk&si^DfOtLF7z*37*V)Y;q1elVh>uv4Ld&p25G>Pf;{o zTa&JntoAh;BS?>bU{SWO5CBeiFYl!m9mtgZIH>L|kGMPpI7JHo)j6R^c?fy^u8q$3 z809av{n3HnMU66=ukwTcEuzYwCW=zMj%d3?yE)t>eez;Z98xDypITwWIi< zKp`JnDt-0B6ncBr*&(Y`tklDE`OSa+e5h`C4=(L$NY#QE-*Bv`{f~5uMWq1X z-1XmSg%Fm*Kd2mta3gBT^_N*J*FLId4NA?5{i4qJc`j{WypFKBwdUwvttjL0+yDWpL;<%EMm9=~g zLPY)=W+o?-afAm2{frXN79q{Eoqa%afBAYF-W#va7ilU(Acwcp|IjdXbz@zzz{sT) z(2~P2%Hpqm*Qq5KoPCuuF!1*Y=k5j8?-uxi+(LlwvUF|3`iO3m~pqlPhYV#i14sx7WzVK1n&Te0rW`#1YB zF4g>7_dng`nXCO%_=@PV$~G=5jrNZ~>wTq0e-_03k_%&0$=m}pT4VRk!SjE|sLsWH8(c=v85 z%f+tg5DQQax7O;pIvpntUKF;-6@hFZk~5@abxs5?6onoK6*ytMIL*0;a<}EtPP1 ze{$=Zt5=Xf?J1{h4T7zDWn1Brr+NiHqEhxy6sE%HGF1ux-(puR-;E=a1z7DD)^4XH z3g?rLmMYwg)StCKEj%YG(lGS2oG)Iw5_JBEKXP_|EOI4s?^x*S)Zeu(=sA2hLVC0u z&^(cIOUSW%=^7bw@0vu!lqw0)_tn{aefDG`*}J4jPUY{)_~*{!mel*(lC$g09)MW@ zow802q5!ze{03UNjD-+R5mG$=okdJ1qLMG0-453Cf%^v@aYnbr7R!uPkXXjxB1X9# zzjv?e+Rt2Ka$(GQY~;wvM%^w-fN^mbT5?kzq<%+~K>Xj*eVX_~s4u^};=a?h3-1o8 z^AQ#-`W(2I)WEPkmKn<2Mm;u`{)CGdfvF4F(}v_qIGpBBMaEZaTG<@1GT?-0ZUpD| z{SJH7{lD@7Lt9r^u3@XSRpVw?j-XK?Fy0D6&cmu&dRSz%J3oxGG_Nw{@Z_xW`ZBY3e}+^@bT}JA<&47U-&m6FG;M3 zGG$^A3I7>E0YlP}DOAGPT2O> z)eU-?8pOdXa|07Qg#kji2WABu;USrjIB}^=kU%^aBf+~7&S5@Xovc~ya9NYFil~=s zSQFzn+Bl;Sh>nG<1=LWAFhV*)d2)FEYlfqx9%(?&%8N2aV7Ry};XMuu6UZUUgW6%NmCJAE`BAE%RpFjv~g=_VM8Zb9r&0}cDPBSyWiiU|q9 zoYxb#|E$d@z7+ch5y1dNqy~h*SF2fPy7sBg8?M(AKxt@fIlV=qA_22M&|n0V_62|;E;EJ@ z#lg(IZ7`N&gpOFK)kobXG``N%&9Vy?+|wyXE7_|&Yy<@{@+}DTNnHM_g5mSCon{@> z37Uz2WI3Pp-T+(x@REH4SF`K64oj4nvU>$xxmNfPnI0^3)+JEP$78oQejmx%H8690 z{sU-`VOTn8pr$k>gbsXbIvkn~M|HKY;J^=Qqa+*JeR0~coUS{=&*f&hf4hXU@Zv>+IOOG_3tg$k%^quQkah#GKaHKn8;`LSj`)ekv<-ikMrRx4 z*A05GMOcQpbFDX7eb$fliB6o#%OjjWxyehpVucHs$od}sOyzV|1UK$6P^cg3hyq*` z|K~idXd9z!?Rht@vPwmUPBla{06-@ts=T{V&60!#D33Q%6+;IoBBSd+^56Z`VQFGh z*V?o_$4wXxYX`%zR)-~AXQH9o!703#@>2IIaKTmx5N$;t z>#MZe1ir0(*B_m!?qOYb_ZDn#f8^HVa8q5BDlr*v@rS z_+i!hK6&`d(y#H(_WlYJ(n5(I!CHhe0WnhfV|>&|M{%v0fWC^Jsa1dwl!p6Wl_peTWpxz-A;ZpHZNhXKDBDhiBxT%ShQb34 zDj1@X^D@iNfB2EPd{J&W5>7-$MZGMmuAUIW<(Fd0Pm{RjtNa;r$ty-1k{O3F*S4L) za~d*0$I%zr<(O1I{anAa|76v;QjAF2A-FtR>SxiH!P`1#`Ft`h_6>SN~U?Dn=xpm4`O_T(Q^ypKNme`W4-ga+o3;4VW{3 z6nwYT(k$b-^#cPN_?&DtD6KwAejZ}HH{LJ2)7n@!b@bzavWm>CtI#+8 zdnU%+y5-#bXwH3FHW5CSE+G6KMPUM^y+yDEC4bA#ncC|Ru^J_*l*xozRq?x-j#?JV znw!vwN395oN*E#_TUQE#G+9BG-wuotS#$91k`8KyzPVu&d*EleOS3{$j@WbDjo^i7QUtYYw|5$k38SQa#j&~pFwxsEHB-^p3gfde}xxMT2J5k-bvoC>mQ;} zZGQgi`HZQ!fU)k)omoKC)L*|9M{5t3tJ~oWbfG9=JNRub&ua994)OYV)zhZx?2E4M zcO9<|6FsDNdtQ_-E@oPnx-2*GBjD^K*z6cSNWs zgBx)_L-%VQqycGfY_3y*N`3+exBhQpR1O6!C=Ujo!B^mlU1HuVt6v?4Zw01SSY}HU z4(EmNk~OL!n4PNOCqJAOh=}OV$5)g!xtVGF+*>NS@5i5T4^7adFM*m2sT3ZfH-;<4PjYo2)a5&GcLK!5Ewm7{9&G87=}k_MgKDB zmVjOEGA^~-g;C58^+R4)STAj|u+uUCi(DoiKZsYxnnjIG?~w}|dzb5XL56|Klp@L% zTT>H#GSp?3L$~s7qN#whHJ1UeRIs#TqFGjJ@mMKE zF+@i!UV3OoADQe+K0HJ>lw1Mv^(rPMM(@hP(jy_A;o%}8AT ztnW3S#MA)@3t}2u%-ky2=g-YG#*N62e=DN+0rJi@21!UN&{I#wha0aMC`)0j?bVZZ z`k4KP8m%Woq!2ftAqMn)u2{nGc{J~nWB>Omj8x$60aZLGs@-+(st&lUj3*px;0H#e zfE(VnUUJof;yEMe%6FIs*FNb#|L%{q~_;1ry!$$X6D<)&FKj?)^viT z-g4106r{p=9~-@q)J+>zZOZ>}{cwdJ3!nL-fPkbfs4g%N;Dhkopq!|gU_XgvmR~g? zQChXS+Uc#j#Cd3!PoAUth*|pG=Ad)#Xta zs=faIidRt=_OWS~$u~%SIG<2)qrm@v*bdhDQdgtwiM)rA{M?>t@Q;!>#_ICZnc&=$ zNYkVnxonnbl8{fA`6LhKL#W@#02{H))08Msp6JAlK?czMpGFd8tgx-@_6OH9=O>X+ zWk$;(0JsND4uS~LWfma4ueo;%bE0#Ik&C8L;d8_5@au9ZhXWiKQ#|~2qI+X*Xk;YY z-HwV){GK&6Udm3)&Xj5QMjoAxoj_s&VM0Vw7T3FXMN{p?ylRpE(VEM@SPCqv|238( z_uyYLisG5>B~@({F}cc_(J>AAWYNP6n$E%1|9gyRw=aEQ?*OhdGq+Qt_4t+f5}?`w zY!YxOCxJ%b-3WJJNCimu-2vjlLX82GW0vKVq?={Z^w@%){zr(_wi#N=KavT#PA~(5 zGWzZgvn325DG&_yjiVZ4CxFTjG#L0`%s>00dgFv^bEJN#8q=DEz=CUF#a=E}nmxG=8k4OfK51o8Q%c{@>*+{%hRe^3cGX zFb3|#{{l}jg{5(L`62+l?~tzX?yPw9isczeQc|)Qb@{iT))nlWyAuW7^ShI4ynWYx`aDFD*It3D3wr(!t{Nl@x@-+e1|Lq{y2q>=oAcn9*T={fOOYq0f> z2ny_MsB@a$Iu;Kyz7}@YJFGkUV>#f8*n?TKs}7BsZ|p}+CO<;9VH#m`_=ZtueBbxv zWp1>b%y=J80+iH%PFMd$m`n}ckAya~nw;w0S8VCNbaRvQtSd~8t~@!7u)53t)^)?b zUxHoJzi{Zck=qzH{0Eh6!Y1(zx3kb-An&z;^R-*48)MxWzL;S3j@F_C1QILax@gY} zWXIIeYSsp!!Zi4Z(Dmf9f$)~4`nz2!v57|>YF!2J-;?mW?Y!n@Kg5(8-sd-!aYSI& zT6%w`MVkR5D#?mGOH^3rdZtJ%_d)o5n5+DZfXifDxXvDVy!kmg!KizH%b$O$nACwP zCZ(Zs?Qg=@=5g}BW1OE%JPq~Rnb2%Y71ZI!OA;J!54Lo`vWfX4fI^aR1dZ{I-{t(8>Emgj zT011FEZY~sX=I$6qlph~ozSflXNuk@&$bd7b8@QdS-8VZG27-|2@py>x0C?Lpj=xk zqrkvU?fU4zEGJe-5yH~IY`4A8`+fiw{rWPt&O3&}m43|CI|y+;9wuT+M#9Q8zj2i6 zOM9Yu-S#n`MtYrzvfASJe2WFX+4T6@wzlgia<4>zmyeG|*c%Q8E4Q}i2=MdcE&2Xd z>X7qPe5F<6dE*Pw4dVAD$Um6KndLtl>z!(vWlrnXnnc}yX>Q&PfpI#?ij6%wV2FBP zecbA|WAuwLomRTy!^nAdNDq4*u#R&FMm*Koz3HI66U zt4}7HD(cHk4}O|7-LM)%Ni6}P%8d$=ZNdO~pb^?H1pix8`7;vh00g4Ek%oLw-MhbZ zNWI=XKRJIFR#N1Mi0aIsq6Y zV0{p9nMx&U1SH>leQ)`?-1-0_h^$v`SMXV}&a#yPB;hz99Ic%tb)um*-*5YY3!3Hg z+A(m^tqs)`obGF`aNlR&uLukd^oY0Avz(2Ma5~9-GFz(RAJ|>tdLACpBb%cd^dBzo zSq(O|6lUjjs>D#KASK1-EIycbpsk24DEQ7eV}JZP zOqwdQ+uQ4|PFH=#ZR_nPD8|fU@?$K3DdBqT&Y>BmlK8IKv2U}h%Mvvx>(lseH83tU z9i93_Y@yBJYMPR{j?#rPww$Qg#BDYM-_}Z)5XP~i zw6*0G!;=ZXX~1WbLy}^`z+-m0b9%l$)Qt&+4REFRA85?IiR=7>fz4e?CYs{}W zpFN!0pT|^bDDg8nQ265i3`#)q=-()zBXyn9(&}|O8d}2C+n+IUc>u*l_n>7|h8i5M zSy*sif9U(`NSq^Krd&T;!@b|6(aI{Kzsq5w9AL>);bb?#L=Vom*fTw+0`u+8%~`U& z2iAF)kI2^aK3!s;zKshsd@OuZc96N)dePKDT^x7%t+#~WgVBqdoz_ci6=BNFAuAVi z3g9t%Eo9^a`vgCG*)Ub&yjEhXsn$XdnR$hGYv)5TL=rj}W~ z>1hY(+m=?JiLu|&Um9P-8wGwFRzA@UQnwdpMhYCmrie-SVQPs4aL{=KG-P zb$@$8wU6(D0NLMRu5RhPN_(y9r@vQt>ZPAnQ`Y|^|0fFXXJYmCkAC;K=Gk4|7y1s& z$-J#NB%3>6AwVl2Jkumqpl|dXeRI6a2Ry0GZo1y4NwbbBB#@!11eWQZtE0PfbVJ!@ za)>21@xp64r{|koNcRUuuC9>d=9vFQ8zfsg>Fqu?A>y6yU^OIy`uhvr?pa}8BUdRY0{g#;3%e>5^=#F;2xLXC-PQ}V#_U<0&~{*s9$r)zdzM@s z&2JtAzon0`?%tEcmreZ2i(@`^EHAJL5%D=bI$}8A8bh?Xk*W~p%T%#mzFAnbD?lIY z)Rg0=NzJOB*JeVGU>(-pKSd{+dvt3dx1bxkra{v8<-H3~1euQauppKtiF(VMi4C(p zy8s`}!(C7H6-L&UITipq;r;C&?UZCgqbDar%z%TcqWfiBg`Sun>^olWM>iU7bSJ$2 zmjahT4+N5brtq-X4`hi9oQQ;2?Q+B!z<}=UJ>+XN-K?Oud<`UNDVp4z-UqMS2VoCe zhJ5@d(E*hJHO3F$|EHg$J(4ggSxGwC59Bfb97{Lxm39CP4N z&hdNRSX*!7G{%iapk146+8J~sne*o^PB|CeA}b6o|8%&_us+M({+|gz}q4DF< zV!yHq>?pIN3;n}!6}h!Ly0U3I-P#&8t#RH~w%o(k<{t1wn9JVOLLcP%pmXc2J33pxD^4+h!G&c?(yBM?4O>U;+1HeK z5rd`Yoe_4P{F>&RMxvC@>#sHR4(_)gUv0}>t8r48j&>&U#W`)yT4V$6cnOjvAvuLt zn41fIb6r*OLGM_1yLQv4=xlRiQZxTf*T4I8k;YQE(|3WQN#AvTfAx1msFtD;cv2#e zTnmpefj*|R3o7GE@^3`463UUxcc>UP=NmIRoJ%`No?FxO2h~y{qR#e5`fGZ72!SSq zS)J$RTVhqXWC383TR5*&5q}ke5$%UQ#SUFMfVCzrp9IO_nlMtk*v)~y_vq^ z8B}nA^>(!JYU#lu-nT$^2|#f>s2>C-boqDZ+Yp`; zw#iz2uEhMhiAcxwbQv$Hb@3J%za8`8SEwi>-JmZ=I43LH)q?4tuZd*>HTRg5JH zdn0S=CgTzlf_Wi^rEz(3s@{&AT=^kxb#Cj$#5zA;I&AK%e7L6}Y@B}dP`vhD3|hVo z=kUwNjSb(Qy%;i$jdAFnO{X2x^~%X?zB(rB-z9)jWd7z?ViZf z4#7Cn$hLMcp+z1PJ6uI`pJe;xi;exmb#>8Qbm8zCdJRD+{a7Bo_dp4ay zC4D|Iq)$-KX$c>f7$daDO_?}Tt%&()6u!e>8D~S&#xk$ozxKS4)}=$<;8uZqiiL9T z8Dipy$)T;)@z*XGGWU?urvZFd}7NF(XjaVqzkd=vQj0qVZhg{-7=Vc^#U{4DCXY zwDNP^1$)}=5bs}_;{R|5gK?^yi2tj->x^om>(&tqC@P^_fCvgm7eSCF7^Mrmlz;|8 zksgGAKtPc43aA7S0qKNZ69JJPnjjXCDn)uzia>xQ^Z>aNzOwGR_kQ2~bJx0m-u#(0 zXXeZ~vuEeo=j=JpGws^70dr8_dJF5>F+$!M`FZCdtENYL5)~Gf9j7NqACr|%>WG>7 zh>SBw3kp0ha#Q$_Q{F`5jKP(W*8LE%oO?dL&E?!PQhbf#-#Dw62CCx_RpQ}N0S(m# zO>Uj>(Iv~&-@d22is@!^62&FMVEJQ8L2DB`I+o!hq!_q*)Ce%m|x7k%8BMOM-fm`j;zk zND`3o*L2`jB7kRwgl0|^(hsfa;2Q43qLMcE+@BcewZ@6RYR$ppW3DfLRgGTCwWXip zL|7EUU6?HpA}KWt_C>YnMV&qP`8C`{!TY-u{kW`+H#3Krjw){J1CH_j8lIkV1&N0NA4~oFb~!B0S&eZLNF3;K{o~OC@pZGMO*S*+Uo}Oq z{GXftYybb<9&0q>xU;VbEd2|~6E9k6H_89VMke?egW(awRK{bh$4}U2YWjQiJFD9h zz6AO{dZ+0R&vWHT)o@K@Jnv0NMPC+p$spQ$^^)AxP{Frh;(@`Nf_s}O_a~J}odQdE# zIRa8MI`m~N%%S7`Rp~E7{1=b-JyKsHF2$_F3%HEh#o}zFiI>H@MLMpGSkuES=->I4 zE5wwOLnE%Y*RHg*x)vb`gM}c@GC&PEiuJjHVWs>zV$`N}A}|<%26Gq=^xC1E-hFEQ zB!I1QHN-j1bP>GLWCh3hl?!OWXFK-X#&)!TYqzVkgCT2@Q>J?uEiyP zvkY)TF8$giKBrnqOZoZj#8WMO9sI+=C*^6I$wTXurgAf^ZkG*SbM*Hg55~yqsLs zM6;hSXRj`N}e9~WTU9fkI|Li%mtCBX`8b!6ffjDEqvCvdvDShmEnHktr>9Pg`;qF zm^xnm+-V7^@mE4-j4UptV`UDNq_%HDpwOr~&rjVCRRc)B&&-Zw$626p@G((S3t8n#!p$F0RviR9}BE`|HKA^qY;hx!~ z?8ox0-)Svy*vma$$Z~3>vhC34IL9zBG;Nr?Sm*B|MT93%W+0FKGU=)(>mGnHX3J?i z8>5bO-#dcea0n`T@2nPB@PrMd2ZYoSu;SVcr5szzQEL45(4n+QPxTuyuk&<;H2;Zt4I zwNqL5B?jE8bzyDzfVk|didacyQJqrxp^L<3IuRrkB$B=OY3kvr=+kI^rkl~`f&i-v z1ksj0oX~Ge|LeGe+&C6Yfa?MvB8kDgVnzca95pTgDb)W^1CufYK_GVpA)p)o3{CB$ zNElyqL7V03gZQmWTg7LOv<5c60dyzQq|7b2^Fq~2qV>6ON0 zufuO6@^QH0K_u$Y+IuzpuftQZQhz`7h(z@_UTBP#;hV1uHv#UXJ*3UQpOG-7WTgn? zD|QuDw=4&GFn2Kmiggl_{?WT?+)wB4I35A?P(I7v2733u28sp2vjr4#qW+7I)Putq zyUymBw?j_koYPsQ`kNQGGwY4a6!4{Up%+lmwAq*Cl<}i#i8~b4uB-POBuB?~8gI4N zP>0pMOKhG~Zkcx$QB`y8^1r@YpKfvO|V~q2BU?Ch=)w)%!Ur^R5Udk(JM! zP3If7!Z#*|{A&QX>51m#=4R$+V`mQqJCxT})U;9$5D~Z(X*0dI3f_vl?cuf`U-6vL zH$9^*;u%;g;4zPB;yW|OkK8<1O>qswm_ih$Fv$_zs=n1Oonn*skNPMBH=j|2D*$G+ zg@rv|_UmMisHG+-lua*GjSKgev425(Sa2Q5CZsH*{cQtWXKPpGTqjm*1XMcDEvEo= z3Atjojpg0GhkmY4)FrbUI49`y~b3tF$Tr^Wo^0h8?Bd>dev=C>7o7zYAU@;9p ziBPAXGHwX3zF({-ZK`5(6jo6?nh%UUm5#Cm;ZuFRM?Nq)&i)=>b+pfL0YjPeI=0Q- zG7=R8+J^2wu2;5r`7E7!3BFW#LJ&Q+JnB~K&>yU2Xl|K8ZA*n~=5;Z5KR#jA$eGe_RYqjfWJHr3`t`CN4fkq`CEw3dRqHowIn|2cD${ z#ilD>(pY_$z_Y&|!gBUaT}qIH4whuVTu^WZ^Y~4MYI0nqU^OA<=n+tGps1)~S8xBP zx;4a09D$Vld(#&6hRJMzRK7bZ6xln+i%R!DulfY}eeUfUi0P7M=k9M_7OCO@a%pW8 zuPckL`CiXVQra08nq}5SuYK&+SX1U=^4q70U}$SJFMfP|0{_5t|Eu{*<7)boFoVX7 z)xq4ZptX_;y;=eLV4EHJ-^MkijtM#I1@x|-Sf zlbdm+RgVx&pO7;CZwn6U2iKf3g+a2$75UrKKcs5fDI5Mz^jFo&m1r?R`hA-bE{AW0woEqKy-C`)Vr7gX%uVGhw0WE42kc`hcL?`$4;{~h(`{&AT14f|Si zIbvC!RqFZSX|(O<4i^=wPaxuv3-Ude9g1cuW3%^Km-yyss%LI19EHD0SW@#?|7xfW z4UNip65`NZXYQ%%vWSaddHUKjpW3j6!(MpE#^ycu>}OuW34gr@&7|xL{GnT~(nd*% z5>$i4r&cz^UxueugwUVK-rcIzZsRGA=`}vfwN3jXOD4vShbEAoC$_1WI}{a(-l}q$ zKUjP-U1S5?zjmsMFd33s#UolZf^64^bclI-J-!4uf77-K4ylzPYpFunV#8WWg*Hsx zOa8qZ*_*;%pzOM7tlQ05b3KkNtk5~g--rwnM1F0E)@!UmxJ1g%Rmv2--)%YmqS+cO zU^AtrNAeL&)G!+O@T#cF>W;_CgiIfI3H*li>Q|r1Wfk|48IBfz&9ttGgS_&T@g*~q zij-`~e5plX7!t+Dw?XP#nR+V{6C!gR5NXnS6&0!T%BaJ}26|JyWvco4_ihY4;|YWX zSoJb>G$;pW1D(5xy}gC%w%dCr;n1?MrH^$>g@rAWZl)0<1?5nvM~^rJhnFme&40A< z4@4xJ6!bY)z@xx_`t`)I-0&3zK5@0t+Z$J)m#j=_jQ8xWr|m5bM`s51VD@tkdiqPj{?BrUnuny)m2*i{(kp|_ga@+5e0!ZW5uDz;vpN+)c;F~Q02YzWNuFkZK6i|s~d zr`>HQD;C59!>s&QeCO90&(?_VFrw=>MpC$Mm1bP?hDNScU&ff?y&BC}3WsSsf%C1k z@>iI9wPSd(l@53Mgw@lwy+(Z;rTS%MYn3H(hG8&R`|Ez2Vk!P012G_Gec6k^v7V3n z(mx-V7&QFBVPN`>IxG8i4a-QY%WjRHmVP?-?DmpUxhJi+h34HUtAW+!;{jyKGP&Zo zPnULtjFar{P`~t@%cBZ*5&4(vSYA5oCf+;G#m<+k9Jo>ubFfh%92#BYfQ0Kr8Wu!f zxQ6`W?MI|DIM6%yGm~dyz2V=`$sPwTg>e{~ zo4fER?GtRuVx;esrrfY!%c~xM4EwbD5@*8LWAzm8EWab!8YwD)fiZ;D-o;#i(VRUu z<#@b!WyAb23#Vj~g3VpiSKt|{F}D%7WGX8WcPnTcn^?td(OyAxHT!nUpC~xray)`& zYnnCzGmFW{P(Qn@vdPJXzJF8)uP(}F4W7%vVwI6rYzie2$w9m3U0g5ap{1C;0_FA}Z zJ?{z*$5P4O2c|{~vq#D0n$5j+2qrQE&Q)=A?o@qRuN?=}Bq6c#?)hlpbA1V{))(|B z?CS8Y%7!v*1cj`tj$+zVIRy&tChRc{a3uz{`2edt0a&=S_P3e2Nl#D~-vXC1kk5#z*T38qJLBhKX9S{0ibXmtPJ+PVDjRweC47;%gyqU;2WlDbb*7zi8Ly$& zw?#spA&wLDAzX4!e_+5!LqkKKk!c-Ju=VdE6d4VS&9Wp!_@##gGa0W4qPLVE18;cT zy=kfSh`X>*xk{qngN1?`Hc+O408XGHS*){J@`y@ii^Xs!7f^bshCtJskX-eIal&Bn zJ?HU%zyQ2N+OHZy7M`s>U3fH)iHHaThlii^YiMLdLQ6ew@*EC1a#M-!Ktu1=`{ke1 z)vLp>`Y!lN4UI3)?_M*44VbzNEJABE12UN#DS73Ml|My9Ma4x$mt$~X!eL*?TpXwK znfz@-ic9ogs=bXE{s~MU9c9D(hwtq2ZTu;@T|((%0D^0mxyiuQ3&y^7rSadHch%@a zHo&w`TiHNNFo}pyIT=d_5BoqMXA*raA4m&FEJOm2^{O|0&$R-BGwk(frtANMoI+}G zOw#eQ_Q35MO>u!i>x_VTVsnp({Ih_44^GdaT=`Te3Jq!K.pdf_tex} -%% instead of -%% \includegraphics{.pdf} -%% To scale the image, write -%% \def\svgwidth{} -%% \input{.pdf_tex} -%% instead of -%% \includegraphics[width=]{.pdf} -%% -%% Images with a different path to the parent latex file can -%% be accessed with the `import' package (which may need to be -%% installed) using -%% \usepackage{import} -%% in the preamble, and then including the image with -%% \import{}{.pdf_tex} -%% Alternatively, one can specify -%% \graphicspath{{/}} -%% -%% For more information, please see info/svg-inkscape on CTAN: -%% http://tug.ctan.org/tex-archive/info/svg-inkscape -%% -\begingroup% - \makeatletter% - \providecommand\color[2][]{% - \errmessage{(Inkscape) Color is used for the text in Inkscape, but the package 'color.sty' is not loaded}% - \renewcommand\color[2][]{}% - }% - \providecommand\transparent[1]{% - \errmessage{(Inkscape) Transparency is used (non-zero) for the text in Inkscape, but the package 'transparent.sty' is not loaded}% - \renewcommand\transparent[1]{}% - }% - \providecommand\rotatebox[2]{#2}% - \newcommand*\fsize{\dimexpr\f@size pt\relax}% - \newcommand*\lineheight[1]{\fontsize{\fsize}{#1\fsize}\selectfont}% - \ifx\svgwidth\undefined% - \setlength{\unitlength}{67.5bp}% - \ifx\svgscale\undefined% - \relax% - \else% - \setlength{\unitlength}{\unitlength * \real{\svgscale}}% - \fi% - \else% - \setlength{\unitlength}{\svgwidth}% - \fi% - \global\let\svgwidth\undefined% - \global\let\svgscale\undefined% - \makeatother% - \begin{picture}(1,0.88888888)% - \lineheight{1}% - \setlength\tabcolsep{0pt}% - \put(0,0){\includegraphics[width=\unitlength,page=1]{d_flip_flop.pdf}}% - \put(0.24113854,0.61362848){\color[rgb]{0,0,0}\makebox(0,0)[lt]{\lineheight{0}\smash{\begin{tabular}[t]{l}D\end{tabular}}}}% - \put(0.76564026,0.61111112){\color[rgb]{0,0,0}\makebox(0,0)[rt]{\lineheight{0}\smash{\begin{tabular}[t]{r}Q\end{tabular}}}}% - \put(0.76472863,0.16666667){\color[rgb]{0,0,0}\makebox(0,0)[rt]{\lineheight{0}\smash{\begin{tabular}[t]{r}Q\end{tabular}}}}% - \put(0.24113854,0.16666667){\color[rgb]{0,0,0}\makebox(0,0)[lt]{\lineheight{0}\smash{\begin{tabular}[t]{l}E\end{tabular}}}}% - \end{picture}% -\endgroup% diff --git a/vhdl_intro/d_flip_flop.svg b/vhdl_intro/d_flip_flop.svg deleted file mode 100644 index 173783c..0000000 --- a/vhdl_intro/d_flip_flop.svg +++ /dev/null @@ -1,165 +0,0 @@ - - - - - - - - - - - - - image/svg+xml - - - - - - - - - - - - - - D - Q - Q - E - - diff --git a/vhdl_intro/flipflop_gtkwave.png b/vhdl_intro/flipflop_gtkwave.png deleted file mode 100644 index a849b4c7acafddfc5571ce374646200834507b14..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 32790 zcmbrl1xy`Lv^F{vN@=0c;uNR2yGvW#-QBIYJB0$p-40gV-CYju?(Xg!9RAZQ`CsyG z@^12yoy^S68ryr>wK!2+`+%B;P+B{qHAj;gj}QuY&vbsNJP3 zxLC$bsyvcSV15Ib9U)$Q_@Y!#t=P&Tf%{Ia!PMl*Ku68s z;T|kNU9wCnK;%62q2AB%U3U(3R~X`XnQCt+!Loo7l7%ZM;a0po>hEGY2} zliIKavSJStmj%D+km9pA#ytBAV^%Y{kMp7mNpucaTmD-p-!fab6qBNFHa1!smW;RS z)Y4L&8BK_KxR&bC@)@w!ydi>$+2@uK+CF~iZAHgO)@X?I>DjF zsS)diGkVeNi!(vbEcOpD)E*|i9urr$LLV4i_h-b%U0*6$ z%z;}C;}haY7+%jEh8Y1QS|SWdgc!#=q+78?hkl5nGDfU&0B~8D!m*^y*CjNJe?T?7 zaz9E)hNSnSoXYO%E38&`US3fbjcCL+6%0V?&7vZWeR4*Ur6GwLiT9@*d)+Qax#^7P zetHC@aW~)L$5+p^w-3Et#X+(hccbYn{QV=yadT*qguJR{C+$hIMGf}K{FaLiEV;q> z(vFuGEU<(0+MnrASYNG#Tb&rj{|KX$-wwZQf5n?eF;2t^1 zobfBO>KQsS9JU-^zE$kP@KKtD0RRTh;c}`+BeZM&m&bmT&sB%H@u{$9$AftQfTD5v z)a1Lo#?P(L^#=G{cbNNDTGz|5a^m3-zhk{wmfz`05E(}`SU&cRc0g}lR`=JSvYF*T zf;a#6dhvB4kdT{Rw#ZcUSvW1?J8gS&6S~XTy64U zqkICnIOuH$-=2-ehOXmXy+4LX^6NE571HCK$f|o#0+Q(HI_O$pcp6@gRBWukVZ3DsQ|kY4z|P@pw06W=S)I3h{oiy`IXmXxmEwBr3eKDbE|!y@I<}oXg9L1#@d<;P`1%@b z-TFLf4olHaIrUGPq8`@T`E;d`fJSd?l*|l4Sp8aNk~j z>gr41dkaK1OrXqmt(X$>j~?7b6UxxI@lUDHdFkaoJfAdD1`0t{X$glK92bf6QE{cV zPdp*cxiP}V{=S{j!(OnH20+>DNbj{-BsXVh%rUA$UUDuPX$w8kfx<=X`vaz76 z_vNOj=VfP}-tqMMYWqgePs3r1{YEFR{V8r66Rq;)R8HgL=*!E=g=zfM*Ju39y0^=) zu+nbLwAKV?d3$d9&R*+3m*YfcYSD1w;er0=EtlKBbkW&ljp8b;tH1vlJiuQxD)*g7 z+Y1*F1r~+Wq5GJCNXjee?;kqsJ_yN&4%x``qiV`3Cji}FFnIr{dh~RpiSi1WkVk*< z+M^y0@8LRedlu$HK=2A!B=YjsIUzV#2DK!9=82mA!rO7_T(B^}4av)DIzjBcE0tUG7en76_J zzCV|>i}@DET6{8Bm($tx_&rKinKGO9M$h0P?FPc1u%7a>ttAx?I@J2a` zp1`o0)uSA1HDW&Z#G#j=!c%Xw|7z^*MJD3OSl_69`b^Zi+;xMpzDtPn!^r!}ZG+Kk z$e|6^)DZY-WlY94IRFC)M?sh8&rIMfN);h|D@+ku`xE_)%F2DyS5CZCB3ob0%hY<6YMkN1~^d=XV zA(E}{j@EN|k1`ov#7?8V3qu&Iy>~W)X8Q!+HFRtM;BQ-csl5iLewE8^p~Pg7Cv*6m zw`aaycaq&!uSoe4_trz_SzW%y<3kNOM>C1DXT_6(qMDc`B(ao(VZz3{e{(s@SpL_f z>kWeX#g2%groOsXg#d%QK$s`1eZU(QNj1(NxLBnsz0x97=t!BOaI*^RqRi9 zg1NJ~m4!*Gmlr&Wo1Gsvq?a;Y0ufzU@HOBP0R;;~i#J(kwO|sr@E3{S>@52-82nt$ z=h|#_i?8T#qu})Ix6MG0k#V_t!W=FDR9F?ZpGogXYhz(iSMq1XRnguoznlp??)vdN z8Wap4+WWF|>R;7(8Xz#euj(8*f*xAb0B1K2-AEGwyaV_6hcd&{ossl zdk0A;{zdQ8HDzJBV6o<;kWYAbpGYW!wS4^$vU4*luIp(u)(EMHx1`|QRM}SC=P}Xp z4VCKHkkIm6F(%Kopd2Y)d`e{=!wCf|Ex38rd_z{V>GgYJJ}Yz(QNoI^2L94hT7OJp z1GjRXHJ#w5K8}dgPoV)oi%EGh{w6ub(&Ly8W{+$W$P!$M3SE?__UH|Pp4r_Vim)(` zYn((w-Q$r0f!F6Tqv7TG5yg{+xV*yS$+O&Aukc8g3LP6H$0zcS>Ymq+*`bLt+ONYu znrdn3vi}+nj})_$5j67}+#i-Q99E-vIuZ3v&e_;GNwWA{UmGjp9Sb#Nwl*@~p1UMg z58LQgcwrUY4@Sol*f|h*dZw3EvgQ^DZOzymE)G8n{4p@Zs*D-7M2b`1 z1e@p39pLZCNYNj}^*+;EmaHA%#)NjbRIMtm)pez1qb}>mb1KzMe)(F6H}|>s)2vLf-cJc=Ygn<_CbrDF zXS(mYt(787frOP#E`t4DHLG8o*l&GeejS2Q9QB=#AEh}MzI_tvPWonQx|h0K)!SS5 z9c{V6E}%TpqdXW1TtRF35@fs(GT5}{&10dD{BHd$KZ(P%O^uZOwQ$B_hMFye=*;o- zbd)JHANRWV3M;1A^2>v!6ggUCB$AVpQvqeU9CH4mJ%09D3oh2jY^Fv~|~*yJL`gW$4p*&1-_1uWlxby0^8`M)knmJtP~yFEYJf z(sRjX4w3^-PBd`Iy*0;pgMKdjQMc-#1rNdoXg$&Eb3af_&49g z`u~i}`Mi6VtVE4@?p9h_6f-%vnKgI_f>*@~a&w@-kuv)6!`;e?P`3f$QdQ3!?%>cu zfQz~*uWq(y#_;uw!in3f{Ou3H7SoYbssypk@*H*4?+*_RV=1j>>&6iLc`JR0yJ>H@ z29CJI9ePE_8;>^aT&3EDB_1f(O*u+y*GiPSf3159s3puqE&Jp6Wr@6u*UeB=ihaW6 zpBHUEFl3JI>4_JOOrO)hLt74}O5qxGMEn~1w7hspohF<6w&(_2dY&t}M;jh& zX`>1Q-wtueCr*?!z?5w@J>m1@7vP}?&4G~Rqa%SXr_Dd7uv^=@T$$OZ;`4gy-L@%O zJ+rosC9{}6uN=h{so<@5WFms8WPI@OjEYr8H7gz8CJxci4t^D9evwG{IF-Rk{4^0n zcz$^)Prc-Py6Sc}PZqCW2)=&x6p585jlQ_|TS|*wf(J9g==#DGPnrED!Trqxv`~SHhI*)~%0cb#nTC^#q$MKI>~dzV83%m-IW~zf z*)y;@HpW29dYy9Cmd0wg+=%#oW$#14(?s?g(eN+XN_CCgOM5$sC?F#77~t+n3+O1{8yX8cu}#c0=lw$>u-HCJPPc6^RT`2kYpo#oVe5&5@_Hkz5s=QG_qPb?-uIt& z$Bk%hgXoy3CW=Rck41$OUZkqe2wG50HkzI*6D3Je--E}?%8Ci0VPp5+-D6~q9NG?^`quC*ai81`_YR<9La-WKTnQYQ5;={Kap1Oj%#xlR6>Bsiem ztDkOyu0fj@a29!MGNLNql`TwSsnfU|x+cl4NJJv{E?miDC-lY@(cc4F^kbu=^ajUw z@?ZOOT0CWF6OyDvO!GDE^}l~gsbKYUtVlEf41!thmm5---$aYex8m3zCw0vCFmVRr zIBFD1nTh+6$G!)`$iV|>`P>9r)&Eojxa!+j5?8imGVv$7Fa-)iZNt?iQ76mU5-$ro z#>A=Y99h15$M4>&OU;lM78RpCbfWE4+{M5L^m-%b?FKPbERF)7@tyRsP4}iZm_MYQ4)qk29>`?l00j zL14Oa%JP!qTYVRoc#K-78#ZJ}#24viArj4M_tF6)ZqMtO%e-E$Kz;7Z@%zreV|*i; z#1Wlp`*1l*W~|Jnrlw=}TB~{mZFOC3?StcE1NviFT=p1Jw+AT&kLJ-j+y}HupD~Kk zRy}N!X8zCu37DVqxmzS%vn#cpMt5eh0|iQTcARh#XuJf3V8%fGYj-~I_>W9V_es{P z%iVRl{1NQ72Zx!IRvr-avO(*IITCIacEh<2)8W z)=>~2EMTaf@HW91CqW-4kjaNBB}_1k$Q%Tp(Bo_O8K>=GH{}Hr(P__+2*4&asZ#N6 zuMe%J5ti5Wxl-2gmYKVb6nH`h(pMjZ=He>Gqa%5r3W`H5p;XFL)yM0qOi19D4^++l zY?=l!`6c{hQSR~&NMTX+D)>WXw$(-}zvR(p?lW&stE*#awHOcDKBD~T!QU{nvKomt z6b%h2rWNPnCsxd~O=q!;sE)1XDr<6CaSzFgz?a>h;p}5{+K{sV@$PZ zagb)PR-?94&(X7%t7Sd-J?l-AF{ic z)xG5rxqG`*|13*#4nnPWG-)Ugg3T-(YIoGTt$d?gex@^Q{1RGTqVoJSjzyKGc>Dva zT!){oTYGeZErFbH!GWkT^XQI9tG8T-!=|JiaaJqA+hA_BTV&Bs9c*L{XU-f|R-aGIq?J89trFnVj9cfDnDPfH+`?ja*1o3o^q7&m(Z1m=yUbD6f)8p1$r z%v-&M1qV|w<026bh<%N!ESZuO{;K zoA(a3y;~RjFXxIeqyjJ7OEHt4f%*+v^pU0$5sdjiSs|_sK5MW?&HS%VTjeXJA6a%_ z)RL;WJi~OHgeb4FYOri0LaQK-=95E;;G{zRomNb1b1Y@n!Ro;k`$4)8hUVJukcR%n zg*eL8HlY#z6#t)DV7XEd(owR08D9-ooKL72mu>zl_G5yhvPKJ5cS z>D;mNt#%QaI0*q|9t4_|^@;SF+zuy)wImba=NIRdUMa!R__bT<4k@&KV$^E%@ zlIR~?9P|l2w&g(&*PA<1Bj+5d4YvWZsMa}M3U!_+{qDaNyQ|o$MI&u{HK?mbkNKh| ze-6dImQ!E#mCC+ABjd!Dt8PhGQ?vN@0Prp4=VyLy3rd_Hr~fDwr}S`b)VMtXQ~R9a%t+*)~IC>M<)P?C&9m$1G6j~y_+ zS_TKid|5?C73m&!mgbq=S_pkS4lulvV=Zw!L(dwIm*wLPZ*pJXj)1MT3QG%$tj8*A zeBRc_Bq;7^?vXaEhTcifK7QJCV9OP1UW~kgH6og)Rh#{ z64LoyAhh8c7++AmsrdOVy7nEg%G@YZ^Q0nTBuHnJjiHLIy<+|0#$6JXRWyhdJe6dktc@N?ObPvIpd()sbtcNKb4bkKgrlqUGcoeGaU&pd+Zu#f}y*xNgXMK@lE(T0?A&(!6)(`bOvlwDqXd}oqM-=#lYW- zy|a~7SMP~!e{)lfcd2Zi2_jLs#!fpJ%21Rc6M;-p$!apO`TP!faY39y3Mqx%SVPjm z{~OWp@IYaab%jw4`hFPldprS`Q{IRT?1(LFcUw99sptoYF^R7LE!g03xbKbu(gu|cM}n%{c*y$5p+T|s8MLx)H#Nf@6IX8)RI?8$^uoxie*Vp6 zq948Z^v7R>j+fSHNo3s=qKm_4`=Biysm%c}^IR-*p|SH-h|?z2)Ysc_E*F7{S|1_W z5o1Q!f6`ee2YSjf+t_Fxn{?K0<*8$XO=SqrwziyGOQ43aCflbwMa*9O7&Rc}N2!W< zZj~s3`)`s$#6*_i3(65jS^zw*9a$YDZq1;L%44;%=(K6QvGoi5PBuf_z$}T+vZZUJ zvZ;xQtoB>+RH%?&Wi~84ol=IdVsR~Mp_%=(845~%QBh!bcWU*XqF9Vpqh~;KvxkF2 zYd+w<8@51cUIh(?sD`HK#myRXn7y^DPVeD zDb@bGUQnHm)px5)HRDFAy*E4wJ?oR1rM=9vdjmJ6$$eP#D)M-Yk6oXvXy`PcCvZ}T zWia4x<85c<%Q2vxH%z$XdK~Ycd;*NuY+)rPW~5^|v0go``-?u=95wIK6)8MYN$!Ql z@O$5yalr!Pi;L4Uif}W|E^VtJpT9ntfx7In8gsdbi7zg9uFKA-klvMwfSFl`s?t^( z)e?*;?OmUVLdVja|EROx*q$~9EiG{ zHYrHLTss9iOR8WuKyv9`#0lFy8dA48BXt|uP5j!?RJEhsJ^M~+YJ2%r>yNloe_pxI zt>;WgnwZHv6|;CAlkKm{5@ZVaIGYj!z+I{5En!Rs$7|}6=&1UmJdGT<-g4C6yKHD__wyvM2m2G(+E?wwXYjfl697le>nmzszE{!W`jBZ-qC#<^m1?cKn>)|q5QQ{ShJY!(a5SqhTWw8FUM^X_ z+9Ip9wLd9>!A4gAoXjVsx?M`GRpGjSIilMG&#KG zT;Tm-pz%^y-k0{ZC#=Z6KE`ni8?E0x2I9Bs+Qn)~%+iW*zuKQqQ+An%j*pLr9Zrsq zS6|QZfxnc8J3eg&$Q6OHmm?(-ZezJj%oF0lX`!tt0>*>82-%!nOf{7iXSwQu#!fj8 zq#~sE5ngr@3k$#fAP*`Fz=4aUe-7}C8e=3GqtSeeJXmOQc6NB@vZ$ySa=J#C5tTcE zO)eTB&|oJhW^3mCeN|Q0CnIL|_7}I8`}T^Zs)xtDl2U>qy3^(KOl*FcJZYojfI>h@ zR&MP==c@VDZlBm;`^EbOjkIWeY`tG5*eNaIhNcw3n!GpZwYMR{_+x8FheybG+_n!t ztD3Yq97MLgj^^Ipy~9jIm%ko+uUgd`c3Gbz_l#k6*&Thm((K%g@H#nZ5b|)dnR^|Y z$YLI%QE31bKv6Hj(&A8qqp7i$tC!}&LNa&oCqe{Zbm!_`yA~`*9>boIQibC(#?x$h z+t(a_2oDe3YF9crxSmF4wA!UPCcDn{(!F-PUQM>ye*y5oKQ`tvRv(qAzBt_`gqOcm zUtgcD5WcPsUj#2*-euDarN2@ozLCgRqNaNGt!Ilc{K_j??mgp%Z#t;JqU6v8?%qSQ z){|Yu_M`%eaKOD5SQ_LnG!J>sHwc6ju z=>>GF=_a^1-@YK>Uu;YMcP&85^5SdZL$RA=(X{?kj_(7F$KWI96J4QIkQ)PUS-;q3 zSUUDGJ#oqh`qNdfCZ(k_orYL9*Cx(+o+K%9Kcb={8V7sH18WreGVLK4h-jfww=-+J zV{bIrH4hHxVAtjZ)tRmEwj+9!u>ikcpWZqr@=J4+92`FiO$7GFd1aiMvx<9B^e#8s z8D8C3QE;b!g>VbZe>dsZbSlAmJR!VQa{gUs%xHk?_}r%$;35{Y7;?YyQiL4-j($(jO=Vqh;q1J zaP>--3BK`kl$-|hgl8N!UDZe4)}H%guKK(>+!nrED1X45QSJ1o*yJ!@oN4+^qs~k3 zV*WE#jTTpcKSo^q{f7_MR%IOW(~XE&3_7D#Pj(ete%-cD!w1!z5nFIF>q0-JXk|WO z2Ue!pOx6@?9N}7);BWRlkxQ2c*E>G_J-v2^9jXH65yzf&%S&AjnL>@#TUWD&vbl_7 zNE@}%g-G1)Gs>MZnorhV5AM!qk7yA|#TTh}a8oMCcQ9-39u?7W9uf<3^e9|iOFV^kf#=>C^n52N0eQ6&sw;^cYHu1eS2eIFNXC-us&FZ2M z6%H2O+}#x+$QawXjKJedjn*ldzy>-ddBqEV%1Y5UU7#;ve%=nl+3JlXWIzpGT8hU* z#_9`>&5xuY-z{8n0@ime2-gV<=8m+qwbD{^6R?Wfvl^*VMZ(VKj-2S}%gV|i(9qKU z6pJL|=547gpQxgelXUKn4K6E*8j0M2(Ly|f!XL9Ow>zM+_76VI6F%*C>xs>1+zeJN zm7%+6duz*yI^Z{yvOdk0-5x2s5%f zI`3V>H*2~AMIUb-r>K(t9Z248p0(FLZps(^xHRqh&_c7P#i0C{$96Z0+Ti$R58ITF z(7ZxLbGjB4*Uiq70Gk7!>CgG={>fb{4@s&H^s9ed{_{5S46C?@;1}eO$BuNw+j2FA z+R5JDBt>a?znL(Fe{kuSIh_<|!=6wy=xwM4F3`b58aLm1G{q;8u6x`Y#NLz8Ue%m5 zx2Mxk9o+o}IjDHb+>O`USlL)wJJPO8Q**`#uicrZ&a$7=&bcQvl;Zhf0VCXTvMbn$ zLbueYcO_{p$*t@&0BA5r;6pMX3ciFWhdkC;9;uloNt{pVeTj&pEwAFB4?y?Uo-A9> z>ybh37a-)7)71(hXvpV+o_Jf?L>3e)9jvX@4T>RdWuE%F2Q3In?G06rFV%pr>B0BH zc-Nw%u59~K&~Q(n-ptQ__IBNs!COz`1ma_S0Ce*uk`9eMRS4|w+)P+<$WnmN+QS(R z=Hba>#jojBnuGRhG*n2UkvNO6Np3B$byZa!KK z6yAIOvNe~IGI5549aP7}qKV!;5b)R?S{OtqKMxPvO#X9Chrc2q2SF1Ju-JOO`o5Le z@Bn%2fy9p=PENJ8f=`Y&{>PO)nq2>kXKT8CQeK|7jhFj~0wpg}!i@xTzElippuRz6 z(xGSA5HTk(ev_|8+shb6DjujGm~785o3~sN^JGgNV&a{_YWmARG_h_2C;F{(& z(ra-Sl`Y~mvgMDU*#N~U1>WTqwAiwlxw<#L0Re~QX3EMpILYQ8IE3vj?4_mAfImG? z6>=GLk^G%I7mK(SWCrFYDi6sHdm*7mfjUJkC$eomg=2<2Mu*OtJDVH7;&lrTTP|3( z_Y&<)8@OPuTc}D)ivyyUN9(sVERVw8wB^EL7$_vGtxfXLxTi&&9Ku5(y*-7gjH0Kt zQ%VGkwt1hhBCj>X=kyAaQqgX0A-@TSc^xm5A_a z|4@40+c~#0c>Fs|cKE{yA%!>p3^fwSXKXQuy{7%%&2KVm5gza@%5g|mb_e>ool5Nw zw*gbi-iJj*7tS;l=yn8d&<@#i;k`NAnz_^TE9LW`Jv*6SAed92poPdh>{zm0V}Hhv zbr%+qMnG|ll{EUmQxe_xcK?px*e>u5;0vA(kGv~_2>7%N^7ct*b{z9&xLU@zu@j?T zO*QpiHM`vi#LE=2a&hu($r<1DG>VQMDbR0^skK@yHH1xf4`G#U*G4h+-wcNMN%obr z3uIZ`rcmuJQAfBe=j~tW*6&H3iEU)9%}n*W-y zxZxY4ZIk;`J6E9hSN&PPSVE#d*qYw9@So6VLxqMcm(8HkH;<}Sr;phY8s*gS_O_RB zwn}pnI53Hr(Mt1pt;ocI`R$hcULH*}{6oF-A3{*xLQ1;9+T?|Q(-bZelHe4p*Y(}! ztGIb#yOpmLGPe0rqf@beSLW|_IT)RP9}mlQVL|ZwyGy5Ln$rFV`H@q4K+>H8b2qNTTBs6D3HiszR#Lc$|&*lySo9 zUs8OBb~fSc3>)}BS!yw)Fz((H5N?7J_ujt48hH7!(86!Ng_g~0Mbr7(^q{8W9o61# zqa0E#8QPy@FdaQ37_p54my2*$%)jNj$36kRvH29`)Y%rUV>mX=P>b>=N5zoj%jiqh zQ3xuqet62)mKiCFO(xS&QkzrfVP06ya&hnJJJ<&4!j~zX#g-QF>rhXK|3juwSkSKf zX~#Y|>JtN&sYkAYqMVxX1_CPVI5~cM&GCUTiYxs7*iV|AzaDS{DC)PD{-;!rDER!k zQ+}cXSF;5$u?oBSbxozIY;&)NZ3<|>z9ToKz~dPT!^g^-6}ye0rG!W4C;7_PnfeHh z8;j(@E{~3|Qbq>E@=MhA4}AGE53ECQl zN=&&xwd3GLiDK#a>}>Bxm+GLBQU2c12$`RnRjB{OBr!=}id=E+?O9_^?q|}-yeyi~ zuA^cYwT7|7-FPHOva@j1Fdmp0@a-MS!HyxXrA@C?{+E$10M zt)>LkosWn)43Y|0(l$Haa9?%%i+XeTd4#~Vo7iI7=$Qz6oa%gOtfD#TblgydipIr>ZO zi~SFFbCN^`&D}Cv1_p+kiwh6P!rsdj#D}?RaFRvx-AIv0Hje}Qz>_)&hD-{x`_OKm z5Q&|!a-$k;VNuayy^XHDMy1T0UFtZ}NY%F`Yt{_sc*ymFQB2OJfx+_fvV}$QGDdo& zjdv=EK$AP&nTCPRZNQCtELlKPqnU|D>4yD}y!&dgVL}1=^G4HjD8uE>g&HfU3kxrd zrZwAN7Jm#B$lX&A^uou)!0Q*M$pL`#&))nGAQlPVHXmCvvA98Y>w-|czgL$B`|JJG zQ%$;3$$^L&Pc$@)%w<9JsmhSEQcZjGFsee3n!p%QJR7a6t-2)cFm+rPvPYY4n zWKWuk6?C8OqC+__?R1{T>OE!o3U|#GKMmG)45vcV+`ph%L1gN31gXe1CW{yeMzQje?KlIxKJL-<(O9$7k_o%Y zp`$Mrws?JvQXP99?(Pc%Cs3G^Kjt0vA0P(_3UVsm{POMFf&n7?cziBs0a}euut1+I z0gLRkSuHPtEYYV5B+H7D`YbMEC;=MRAq?;`M!O*yGh zI*Q^(G-hIS$7Dx~X%Xc}G3FY{FyWm?s<14zPn6R~m8Rnk(urLrKrW44@^{N7mlV$U{G!Fh>Jsqh7Q{9onYNeuq3@CnbKSLjPpD`h-BOyN2GWR;cHWg(Y?%r*>b z`RT49q-(M=RI>*^Uo=?%bU$5uh8g3(Dp4b$qNl2g9iXPBt~*XiFVMUnkeJoikH%0lJqy|m|3W6+uviUK+L((&xOEh6dVNDO zfSCW>=Z6-Po*(~ z^;iSU4XxcW`i8>62eP1!@KpcdOa(uIo+MHV7u96 zlwHr0pAb-6G|GZ+STA%?2vsRrcGcY)RpfGt^X%?lxPrA*M4p2IDt7i{w?|q$u9^~b zW#TKp?($d2+1^(R-4Io~pX*+(R|TeFNqi)zK1=@E@MK<8c?Na5{ zSf7UU_xB%aR-xkg*%@>WbxQX;GQi?t-f6Sj76(9Z<5Wr!JZK9i_whH9!OjOo}T0 zG;;+IMT;>a9o;{M3(C4NCgd#(7hLE-G{wi^XLVkwVV{84?WVt56JIx=I>%tK?9?nM&2EjQ6oMSTNh779II*X~p zS^Pcf4%1yehqv$7a;_VaLY~PZc2#y%*$+6&ex=(hYNlZN4sv?&xZDcva?TWu3a$M6cN9J`NxL6=vN+4vr|IK?HE zhOJ3TjY~W3S~B5%N7mx0Y=*}Mva04%Olm4SKZyc)ta;4|;vQX1?83A6x}34Gp@SvQ zFMX0qy>5iL`)X6^;B*yrWq9Bpeyx=Tqd_ ziT{p+LoQyl{lThR@`zAZrSjyq3QXvur`5Wdj)lo}E~c#AN!SiS`>p{Et!Y_qs=k&b zqhjH<>Vbc7aOs;BGmN!s&;aAVn7nQ|C^*WzjFyn|^ zP|?)&JPmC<_sYs#q)p_$IT+kvJcLZh|1_0Eb!*z) z*V79P30xNcDZ~q0iNg_ank_-YVtD<;WjJnRQwJ&IlI3fwEz1NEae5(Ne8m*kS%Vw~ z$NINS)KWcJ3^(mbousuB4Zi{K3J@y9H~m4(Tz3IJEtHs&RSwLSht_zXLwEaNIxZAN zoAO*KTSUU~vS%z--Of&a7e6C8I4BN|pXB1adt9~JEw#eO#~3c3L*jyF=Hu-%pt9!f zxmD=<$p--f_JbwW@BBco8||sz*BN_qai0lGfr`arexx`Sufwp~>~l7tXx_T}Az(RL zU#D25^xF2;%*ygTBEGVk(p|=Di>@}$H>^!$ef?FN7D!cVtJlB{2~ToMUY_&W*&{Ra zkUP|{e8OTeheo!w85kDoebz3}sJ!ynjdmk~hZ1PzDbXd2HRbfg25w?p)*c&j91*q@ zuZPywP6U>892{+j8HQ^UmsC|%ZPpr#D;i$LJ`!4qXUlVQ-9A=}@J8;>6z2uF4M=~S zo02)@1I;*8aOPJQtBm0sY*C{zF7{4ZzPrU6QiK8YVtl!V5{2G1E;tlI0<&V9y_*!? z0ZH>NvxhrVKQNfP<~7osjuTsb3MV{4DTidJ_vceMITC#NB|2<$!$av-#lyUO!J#VVMelgrMo z;poMh&+9aZJ}CskZJh?Kx_D^OqW}o0>z56QTMQ^%J1=S}_8CpLFZ$oU`G*l7hq3A? zu7Dg)`hL@j|L{i8JMMT+H4izRL8;3Aa1`FVm!2hZXM9$hFU2_ zT6MwK(wa67USTOG>XR{1RadVy+#Ah`5y`%g`AGQAxTvw}xn1=kZ6&qxY$(i&L)Mgy z%+&k&d{{cRc4yD~VLw&c!L^S`nd0XwXXcqY>>PVdy7yk;6vqqgomH_LUZpn_h?eCl zr`fXkzolg-PtZ@AtRnGf+-j)&9Ggu(!73;ZEg~Nr9QgEJ_{}fdvsFIz1!GE2p_f70 z5F$i;tzHf`;opJG>Ib~Zw6yliH$_ECSPX!eojpon2_A<9dVNE;oDQRjk*lJEL$u)8 zEh()LbdO;3Iwx7P$?j`+?mwLAT{ScB9@L3>%F%)=dUDwwZ%^28KE`H|4;VQ=(+^gi zoSX!U&qWaMX((ubt9A*JpL?WK@;$j;CQoD*NDk}rs{BZt!kIH{acUh1g4A@4R2uzcbw6qR{nvN%!a_PLix^gD ziuy%?yR?T6wM$C>>Lfx!43ma#mX`p+{hr6^1`e*4u_t{ffRnQ=KSrPR+~ z-UB_Or#tGZaGakpzI365vQaC$OGwm-0>a6hm@UyOp#e~5Ch85Ck<^@5`%p-+@9iD` zRmuu2Gy#&=ffbm1_g4*5ErAKA&{DjSjm@bUa%}L zdMm1|d&FO}$IYI85pgD~3%6b}N@)w5aU7y;PSMaVG!VK(uN9k9aLP=Bn zc-Nk>#3QDmxkNK~Bp%Y(SmA=1+96F2o56tRIq_;vp1rE#kDNu#hU*>AjHIX|zc!m! zRBD5Sbd7?9aDI7Rm&k+t5x!JrX?fY6?n2Jn+s;k6Rm70F9ZB!h%D9;1NtTJK82+hL zYg?tdfRGeCPEYsIp;+ShqdRPnA~AY~(p?luupDJCW2)qreBH4tYa6sEBiu-zNpbmu zeG*iO_cS^~`;7XYf93j5*t#R#j%^$iafTS-(c%+zd2FrCK_*G7<%Xi7k*J~fU@1>V zd~jTf48XwL&;%tZ$j7J2h-~hPJPP@%rF^EX; zaCq)+8zQDwD4o_s3@_4DROor)Vs}PZo_O|mh6FJ;&(5{#g)n3F?18_E#rJQBzt69; z1ImTcMbn-=dS)OKvxLlC)XdiG?g^bY&m5Ge7TEbd;+`!I_ew1x(>#QnsRK%hFdBt&n-X+)kcJ}3qYlvt zGyX*cFNRl5e({}s#Cb`|N8EY#)9n31%$H^~MUf~0EO=I7P#8Q&|MWcbOAyjm1i!v5 zG`un^YUmf96xDoJbBtz+EK#UdPAJg@q*f^Pnt_8+f7gzLmue2CBHi773!D3EAIYL;LceloTQ z9VY6xa(X9F`~zCA4jtBr=->Y}#zM#J_s^vNae`og|Nk+$0r=`Hc{f-8`p?FTWt`sz zIDJoRC!_EEkYtF^mrrS*#F5;=C!=rvJrD_wuSeuRUsvM)fBP~h{rF#$ZwAze#R>kBKeAtwO1sQjnzw+ig&z0*?C=*H`o>W&RK_=;$0HkX*2_e=*{Qq zJfG^FP|G+g#K0$QTRiX{Er^3jZiBM-`ebCL=e;O|OeqF{Rt|X>C~Uac5Kz^x^lD+Y zUdKEJo3_as|4iJKn;-Fpw9Z&%@Vy9Pt}q4goVL9#6DD2v(0F{9AI%k6zImyxN*nV` zS?m)J|M&l3yRUxJ7Bif`t{S^iunf#aij{|qF0H5FzMd^K4e(e#$`WF*?b}uQi~2HT zlfO%kzu%gr@PT&KMX{an4&R#}MR-Cx&ZMDcC{W~6-R{LBuRY}FYfH|6Z|Dhz_i9P6 zC!R%7b#>KYTs@b6eIPYW^W|z|rgxO`&vf6nVR&>H!M)*-6-Bw($|w@n;j(pCf)9lM znpu{PX5qz?5xx^{dc24rM>!QD9P_^_4F_ zOQ!TwdykUJ8SQWq`%DGJsoz#sHFPJqWHnvwWjCyb7U*VE)0CT;NrYfV_fyLMyB46w z2>{k{F!P!n%M2b0qOCm!523db5adzH3<&RGLs`HcarqCCy0dSOH7FE~liuDb_D5yY zZ5HhCk55Zoc5l!c8zk17cJti2sAOCCi1%h9$BF^K_P(HjjcMyS^=`2!>>AQhaX7Zd zw{pyQ7SM-*Bc3{e=D$K_q}bWB(kz>3@oS6S+x&P~p1;hVe?cYQ&GYOf=OJZr;D0E1 z@Y&>CcUn-VtJEi+{OV)@*naqkv3>H8J?b#JEgvCzs0n=ggn6o(eiQoz;0!5H9@-7N zQS86Zn+>_n=5f(3%=N{+-+#oFTI0VGjJS_(8QS!D89Ezg$sohw8r@PY3r}7@>sD6H zAy}(?lqmfSF#f*_g($g&Kf&EEZ6dXaWfVN!!R^Ez>3R-cE$9B;E*W%Qj8R80&{Ue3 z5t_-itha_V#6=~6G;>e)^uVID9-D1{TTXOO=$ZNj_sX@8x>Q_-?UCM-IiEc^M#k)6 zHD6>(SMHP_)8|nNDlT@~c-ydxw|vD~t@ReP>}k%&btk9#(hk`u+RWbLK&~c}$#^aQ z|Frj(VQsbDx+s0&g;Gi>P_$SnQi>IKC=@H&;udIech|PG#R?R6cXtht7I!J`4hg{_ z1WU3f@3+?8>pRyv*FL|_kF!tyvyLhD6Q&zdoVn?#u0zs60Pbs0?zf*osy+#uSFZCHyAM)XVLCEfjc|qYN;YDz39u zPD}!?T-CXaN&i{0=+SHMDrSJgs?^ld(vo7|a|;ZBM;=vC$(Raa;0IpDtxmu7bkS>d zkGmVseZVV0$RzVO<6l&{JJ3hFGb2>nfBJs+(n?r~dfN0I!317GG0u?Ewyr2rwMjGxbSD` z!E^gNgQ0tDT6!C5^`=X!kuBZO5d zrF;9~zhzcIf(CnVl{>ZyE6zKLmY#U;$d)y+q-G*_)b|f-9QmXf{ zJlH5st;$y#9gO5{H?|3MPYwDrTkcdA`jKcy@OSi+8{FCoewdz(@875y&A7ffkZ@V8 zHTjo^Ad`>eB^=5U`ia^-ZH*$f@f>IwKV%Ay^coHx9%a6QoR#$ekpU{2F#P;YAG+CS z@w@uMslnXH5HBHxW3g;V=qTRPTm5;4%9{&oexdYU?7p2=<~_@2p$;()0d)2wk%Z+=uRrx5l~?lm z!ratpRJcks_Z~7$9w}Rz+nqGzK?2b`cts6)d42sihWk1b7FJ2VAu4H)!*_QCQdw&X zQuJTh{@HMjzTLkawWYlCJOsEOHRPrWR(#%6xfsm+Q)c73mU^ zbB60Lyxa+r>wUZY^?m&lJ=g9eUE9wdBxedSF?4&6!OIzuRlR>WUt3-#(rO$*#mmhs zbG`s(y&9C$n2m`jyd+Au_wxh4vftyU_X}I{>uh@wT}dTck=Wqn%Jk}CcOWS%9{ZNe zBlSIUD4S421L`WI`K1_`*ZI(>C_TM$cUtA6Wu^OG{I894BePxp0wrb|5wn^5cqQ#e z`EnD+%NifT<6?VA4>Jzp64I?6373>_vQl^IN;JP1j)~s%SAaO1;A4Ff{%|_=j>zv> z2&)^>MXgX{9obv<*ZCn8%j#qyU!4Jg>3)G2JC#; z{k-+t(*t@pQi1PGt6-Y&WJ5->GSZe|;!JE%Eb% z*2E;k?uyT~ypzJP$5?BxlQ1kVHykBjBP<`&4MX2xfBF4L0}BXpiXpakoamk4Y#P{j(rpG&-d@`=@%!hKVmp`{ zU#XZ>;rpJM?UJIFlW61zLKMq+vWq-;tADDIQNZP~EWO}myS9SnX?bcPiq|NA1NRv_ z-^g-T#gvqst~R>q?==Pq$4l3b!CsaUw1-fcmn+;|9-^Qk80!NaetOJw*^0@9-DAn> zR?n8|>Kvk`CE*&UxHUl{Cr9M`5#jL8{1o!`|%@9fk(9cwuvAsCgYRy2MH|NdHyI z$|-OdoMP8X*giS&O{*gm{9O>W%98 zuNzfR02A}9Z;yMSUFgk4idDzFEAN5KpF-yMZ$03+Gu_hFmEN$Nv0Sx$Ll046H%m)3 zRT>;(7x?+07@=iH^UI+Qy+Ubg?Pc7YpS1y`D^kLR*AHc&#R`7q{x+Ro-t4MU%*J0; zbt$VyclbY6Dx8FMJ^ki&_@}@viEDj5@>~=&aAV>^XRc#uFz<`4#hBTqK`v5L!$wFQ z-;q^jH&^|!vqaM}Mj7PD5UbH0C;^7v7@J@Q(yUO39nu4e4%fr=*}ekEyx?)srI8_- zu91tsldrYn3K7xa&+mD*TMX_2Ql5Q5)bk{|l9N`w_%MBJ2!8LQI4SFsWfXV83c5Z( zjt~VOzZ&ThIa(M!G``qLwt~!?%t(hqbAzf=AIivX_VW15V!YiC9ez_yM40%W4`l5n zAkxOwFxv>kDktUF*i}}JwvVXs>x(S-ED_nn#>R%y9ORfLeU^X9 zFb8aA3J80s@wXlw$|iF45ln7RQJ3+e4RJ|IaJ8H1*Wcj4F{H(yI>wora#v>j1p@ZJ4(9$2Cv|ATBWn&1aHml^|-4f)p8s&#lHg5jDn2kWTN9=Vgke$8C zSz0n8CN7({<)@ZA)sb547~>3fgtV+e0s}0db2~_s!Jt&eXwTd^W{11O>8uOeijG%V zl@^DX*wAsqggywo-Ch#_M;mS8KC@pNm&C4;su*eHUP%PEcp?h~93FH18BXDWuV#TA zPS-9R-3BjJU+HE^D=I4XM_pS?gmJ{E66j`un>;poF=;=OSY78C;%_A#4-c6+j3dEU z+0?HpD1*A}(g>;?F8h(neT^=%5($-_ujjDG9?sQVu10a?pZ0JW`fe1|j5T1~G8dDr zaQF3Yk8-1?p7bOJnvX>HHQEc$nvXZL+$%uuE{0L2iQf*@_FY7EY-VJLTnGp_KX&x} z=vak)6nLgko-;xkeIe$x77;(k2Xx+a^(e>BL{`?H9+V*9pE~w~TBE$Z73WAfE3yDDjJ9kvj8vYgOD_On200yO6n?CU`lfqZ$zaDWl0c z>3-^?F2|gH1u7V#EkacQVcovaEB)*28r(;n z7g^-qk{6qYU)}I1mXiby4wKO1wrdPea&`!1s;J%qa@yyu7^LNDyx9*3z#zqwUc4BT z$hz!kdnUOJMYelN@t{NMPanzj%oKocFJkpmYy|BBztQw$iog>@X_QZBY)#yzX?xrfqYh9O-Lo;6tKFlwqv0p*CW{=59bN$> zh*Ls}S^4%Q)MUKzce9)N6^u}Kz4fThn5RJ<4nUm*aF!!yO zxpG$c;cmi%!xzTm4Q)$|Q3B=`g=9Y-dOe{yr1Zz%{Lm*n$MeYZM=+?w>&e)lN*Srv z(Z&qjx2pH6jSgujJk5@l5122jvSdL|ZVE6_K*ah3eEbvOb8#`l&-wiMb9Qz(BB_s+ zl{M)4Dw+%(^%}n|WOz+xq=NxM;LOrO1hrr7a7)D6O)sB zUNuO6{^vtT%`fb{_A7ZJ&oW2?GG2D>n0aVA$Om2`yKuH3G^{^&%C_6rl*;$`@WEI% z1BlchZbC!^BA+6LNI^1k`8^VOA;ST-2OVq@V6oP@GCA2FUheo&eGLB^4%)ks(Q5p& z%MhUw{w>zxp6cY6;NS?mQt4n^g(#X9-+-YQ&7(2Q>9!nC0Xeo7B@l>X%1;TzHpz0} z2KSf}zg1C*)eKr*M3eW{ZyYp>M!es;mrC+zNo&9GrC*-w)Y|c1>E@aUzvryn zV^pH>hub1=tTJ-Mt)S&vu0JZ*^5!ly>Bcf8;4m0$eSLjrr_jbgO-;?#*4E$Of3i^3 zL6C)dJ)K{b6d#{3CJI@J_BGGW8@h+}D@`1NwTD18pKc-xO%q7(D$-k20c zeL!4}a+M&W{VeX00;e9O$IB*DxPt2Ltk+xxpOo)S(hi1hs#V8vsd9SN=@d1q{01)4 z3Z(g^E2WT_=a|hf8^t(4E*Cz$^7i$mj+6&_A(+NV9#Lq+Xj(U4u49)2R9gsiXq>Gd ziZX?Fg@_});ayUJ0;qhp;-Tu0%*&+pE}_KC+Hl1THHl#Ik*o&(={z3{9v!8hN$=3= z;j=fnOd*yJeY}lxQM*ANAeIfk+4AC~2&t+GOd?y|nPreTYJhvQPQ0si>3W>6TLBB} z0lVfGj&-emnY4YkpX3oebTl5K8Pm26+*I*T;blE zF_I2RW0B;y=uxFG}4I6gW zYZ4$U+p2Di3=R$b0czdQc&dtr+KrROlnnFU!*X`nmI?=%m)El@`q|d&0qf?sZA0pa zW!=2}E6V*eB^#j^^&N*(7kmpnJ#A(R>Me)|kDj#f`r2Blbti+T%GhP@xa(q#1XsFz z30{K=9ltO2W6}#m&S@h%8mZ21Za@^SXiNmvA6p(f%ew8ozFlj<5qn;3dz3RLsMX!Y z9e=dos~A-0pVb&EB+`gwMfbI|{Ig5(hR{o&xGuX7G^Ll3N-J6=O6ou5R+@{NHJ>>M z0%ELA{q@46`S0@lfU=V0VXJYI2cf&8nbRH)6H|`^mR>UEIz1uIOnaR0jD&&F*f^8% zf{#As1YRArU9tA7Y zQzCzF=hIGAo~xX8g_Zr5?TGd(rEQ?R;o5@s@%#OGld&c}jtavj$Eo6&kdP3yqDg*E zetv#HhW+}C4WzF}8r2=_=`uU$)wa&JN2>-%SRuKOh9e|}F_T$`bdqbZf#>Hb_#v2d z0lv}?g*<3y)4ZIqixLZoAXlV1pH8>l%SQiS0^Or2&jM&bIO%Wp-_oJk8A;fG1mE9u z281mIJD;rXwd1zt$yg8CcC-qETmwx^O!&61EG{mZ*5nr!?rd)Z+cm+ii2{{M(5K^n zdL8~n?yQBD!16%vU3U~!h|Vy&wU`j=9iPKvwAeFd$;3SQe@qN;Xy{1-MIpPSba=nl zS)#Ul-D@fo!W0hXm3*5n@N425V7N$-b^M|NESDGhWX%1H+)$nPR?F+=`JmJL)WENJ zW{*hj%$k?m;{6Y5SX?_$A@{HqL>ft&aoqN62h5DGEOc|dZWIoQNKahdrLnNIOh%I# z4(46XYbBDnW1qjzZJv*osI7(R!h>3t?U3j1yEe9r6?Ad1UL&^M@m*E7ZYPE=C<(98 z8H+S3D&P_uDAWRc0LPDcF*D!4y=W+Pfe77ckiAx;@>*1BFkHvR!XisZW*`7bxLujX zM7Odf`_jypxoPM`g(jCL=9j0(w2Macb7ocO>6(X5&BFNiSDr0X`&eX!-rD+9uo@SG z{g=|)8A<$yx@#n&0#P&JbFrly7u#fSk9J^Oh2nLpfa!oIjl|+Ch^q5}y0tl7St(znpn#b1!X%@QC)`zvoC<2~QkbD6iXJVs zv&Z=O_%ZqUOff8su}R54S?)z~0o23Z5L;!BF{wxpa5L;yLZ{WXv``xLF*wzS`|gt& zYHIrQUY-F<`ot6E``+?_fjX9zh*M6AD0Ih%o(^DZop6UJmk3!y z2^Dr!O#B)7!~(k(vnmBeS{bmgO8HntiE0b_DikalLg)CePM}vehEtWBM|mj%#3h=2 zeYR{HRC5)FetyG|lD!;=#ZfAvaqK?6L!_`Td9uwY3Q24teCi(%@fawkND2;0qxWH$HZam;J}(=PsEUHY3gT+$ zS2@CBCW|Wg!xUdHie-XOx0lV!@kl-YCNqpG(?mlu@Kjoe5b|C+nuHAZ`? zzvZ3{A@VsqnF$HHwY`3;`7Y@rk;DmiSBMjbgav0|$p)YK@W!mApDK9&g=cms&eY(| zK^n4SjA;MW)^EH%u!Sn>>P4L;km%{(4~}`W(%yXD%M?Pc3Coo&6m7*-C~FI8Z%uxo zK!KGlUwV$C-&ykWn_x|CE=GskfF-*u4u~^4eHrKwqKm-L$^53;k995>x=#~h^74LlY z#cj8|>9m)%$(&_*q^@$jf@3!=>+rD)Slc;NkPG!%Uv8L+{;A>QnWijGFoP*QUMK&j zm%GV%b8@|d(|#S3OAZaFy+_spUfo0`nDXdlJ&1`3aZ9z2-Pfj|zuVe9cVvIGD7UvV z_VjgRk6*WHE{<7KP@F~4N^H-t3%~0wT+$lg;89Sw_QJ&r>rSZqMm-FOy$NPv71?fY zg`_p_hhP4IUH>4kHx_P*XAD_~Jn%D4v+rK%47pd=l)DZlQ#;cGhlstwb+a2G=H{yT z7l4LwBv)l`D5)K{Po3A=0O~;s3AO*uCT+R=t`nipm&U1U;-}@SSx~Ldp`-lX$|qM# zL_{Qt>-WdxK(j}GqFk!Y{*$87FmvB#>1T9Wazo(cquP1}V$N7O;U7&%pB>0f^6uP1 zk;m989)Agpa4@cT$Z*b_vT|~BtG9T^{aTZc{1FBzimyku)LD6e4EY6Q>6^ksp-<($ z?y|^QgyOsqVrFE-MUhRMWt9zjAf8jhNRde^KqAwpxZ-YL=H>Y0s9!lxrS>~qWD(t7 ze`OP_)ET<3X&U=GyX+}B7b9EIrUq%OlKpeB=Wpz2N$jUG>Wl>R=e^gug5xM0!v}~C zBu*{IIDry`+_3EyzuZPbx`5SUO}9X?pF5MjkOU{iJ$WC;o8g+fLSowjs_5f*e5C9$U)MSD2kIX^? z&?*}%2{DX+c8^C%p7yVRxk};1E}C!1AriYEavV-VNS_i0G^%sLuj0T#sWps+q4UE- zCLL#Mx9UNyewUw$Sfj_SSZL60GsDGCz79-dsKj1)VN*50TeB;y^)vY21t%O42rM*Q)-bMSZ2`O}KX4#K?yi z-d~dS2#^V{UFjY@gqS8=RaIdmyda_d-^|!0eFAz45O#$S7Df%NA*?~$;KzA#&1qxI z{`C8~G$1V7hgK>-J+X144BX~_m|?`^MXQr}6+t&EUptXhrP=U6K%=IIpRp*m@KeEF z@~1`q0vJczzlyxMJO{$tvJKzwrNTBXG5XJ%_A7q@#g?&*VhNZOfp6i2y%dI7+0iD9 zi~F-;nR$i4RN;KPh;d)S#q)rTGw7)#6VOupV98i82cDlTO}qWrc0{FzB=aD%+5Frh zE3+hGXdz4Vrh7E#@Nk`*KO%D;vLks8qyP?}qr{p-l9N3(C&wp- zJQrt48OvIR3rskRC3dPPoE}ibct*D$uZ1*muSnJfnJCQujrF=gOO?d@_ta*;aYkIN zt8BM<1Czz}kNs(VS;s5;p4RaDF}_0TUOd|=4ztFuUg{Yw*@&POyt2H|`W{0m_QWQA z33rGJ{HkR=CC)ChbQcO#!709HgjPxgwyBj9EnoKH@x=%@tpDV^E%V;@*Fwv% zt$2is)ofn|8S#ki1k8I_vq1+w+808Pftu7cc3WsolF|ze z=gkd`>ec_D6v-tGXoKiS%55wwq9D=J8e73-X_2Yui_o2~1^p%_^Riv}9jP;NxL>zZSG^h48oDDSg#c|Fm>rfJK~wK;RoeC5p7?De4T0Mc zm#a7zyA)%#nuc1Y^uv$Oq#0dB*+ka;_s}8o0PM)_XSGXu|1U+e`J(ac)WHn{Rz3=v zov_e~d=XO;Rrz}zSc;$GLN|sO82#zF!ZvOg0MN-t1Nx#Fnn0C+3~-Lb@j;l`^h$~y zp321~>&QV6GLYX#EI-+;*QCMxRAlpIs}*Svq*|z*<%r!_ zj1^?Cu;{lR;x3h}(!kn*2e8oI(4p z*vPWZV%6a%9XEyBpVwp8bCHM}1X&D0T9dr@jjm~eGmg_ys$W+h09yfBd_su>aOD3X zi$ex?{=%=-Qb#5ut`d2=#MB;7_y_zrYvi^)+cQV{dTbxppwdW|X6>I4b8`zt6pFNJ zXjR`+zukk9(0s=j^Y#5tQUg#Yx+Odd+ob*k3$9c_c&zC55mj+UdzAdZ=%0?~s0N>|u_i`EErCOl;Z z=$-Q6pGsg_PsH1wo_~w*mLjAeM%Q%n+UEiOyir_Ph>O!NJ<|mh5NG=y)~c%F%hG!m zU9_N2U7W7&_nf(&%3ce%;;+N!qL)-TLrx)DeWJDWco(~z&v@a>$Cy9Y?VN)B8==~0s~0hdf^E#}P?+qefx z6G{DXdB;xAd2)^!#Sz~R@*giMQi-a3fc42XOhi$O$WcgY+^t&D@{|A~fl&7B<7%J* zsV9tA`j5u;nk8XjX@A8Dvz0bEDpZ?6#IT}A1&gwT1%q@)lKqFrgkbIzPKbg@*y(Ar z0vlGg?N5gHoR>Z`6T1bLa}@RQ=c&X6YKLMQi$_tCg#Nc(H;=&jix5nC9{XQdQ;*Z} z!fc`fH+(<7koHPH3p&TsB%=2Z=MFnFChyaQ}NW=akMDZhEJI z-gW(CxHrouZt8DuuR2CZ>_&-09CN?pA>%*z?cF!UpUxlcI;eGSdn+LU(+zt{Ew}+r zs1lm0@K8#V^@L^`-2dEnfSW3(Hilun)78}#kR4sG4!W1p{ud$(1{L&LAL8Ky6DRPG ziYk5vynX`fH6i{#W~1Uy;E#82?kI`>oL-<~K;+YRAe;FA!}fmFebzR?1D?`I zZXKsY$eXE~)?JYeICjR@Wm1&FU@1%kBY~y++vmR0ykNkn zsuClEW#^f@SrY>O`SruUjPw6yl#N`NRdp;=^Y*YF9J{LmaKmWbt|s`)8(IxK2FA|~ z0nv04htQWmlWfA_0I>VtPF|{=($B-sgimKY%Zh;)%wVmNJXj^Fz3=$B!f$m3bG?%z zjixIkD0$0Dgg${3_-S_6ojtD-|6{y(Gjg_iAfWwDL{bP1Uyd13=g7QW|6cyU_HKQU zl}(8#LZXNwgLX3MRI!-^Sawtrxw7BhJgx#9DVnzark3*lw?1y$Nq4KI==}nX`RgP! z$o6gL9&9pDxgG%1OXAB8K8%cwbNw)G1sz|2(ZF)%Dq!wkXt0Y2O>1gO3)PmD<=fph zS(@4VP1I+U1%c&JQ1paC{i%^pMb3M5ayoY0LeS!5-U{49xolbXwin$+(KCz3XYx&s z0Sn)*7BwDKnH--j%q@M=X(HTPERjRCqBD1XGK7uO#zzY8pR$^Znvz{6)V) zmYXD-%h>6dF3O<kKh9emu7e+x_lI=%p;_ z3azi)rfsJ-X*B5{K|Zo!83?1=Sk0bX#t2oHNZWg)fheq%#2Z;fS-SG1i=?Bamc*)^ zz6zcO-q`@Q4@lt5csqwaW=U(flz)l2Git1+~;s zY(gPRrv!HGtadpvOr>NF+%BRo7cGLpiBiuueqCeiN9Im@o)W0fxknym^40_`?~K3) z!$$Z_mh4xHqnpnX-Zt^uO)dp=T?}#e^Wfs)?J?a9e?CZS%upKP7MH%8B|J8i!G8MO zh!g~ZLhfYv8Lc)L?vf)GRz!u`p=ii(g<;bL$@f>pXoomqbZs9sEOz> z`{QuCzKT>aw}qz>(c~>&GadBCY1e2WM>#fQGdSHAu}XzDHt9 zaBa(#{a#~X)YsS7rPCT!QyV42cUYBFMv7t7l!jVtP2U$y zhJ~(tsJ6&hK=sA8WCgZM>brkN!2J>`G=Y|jWeaF}X5`L&Tur4`%iY4PCS3_Xe_WSd zF_mmNsha$DA=YRyIseqrf#3SJ`@4e1ZK~IO?w0xCtuq#UBKXZXws9YV)3=dh?q^bl z1F_AV!|^9KyN$}HHy^Br(aCOCffatZVV;LmB}=XdKww~zmBfoE2JGYrlzH{$!)*nu z|1eE$%G~~z+6g%AS->&OLD`?g@Ih`i`}IN2aGjhMybIQ_&?iAB5jIDs^n$kmD~}go z*B1?@&u3{GjF&!0RQnE~-4cB>uFq}ZX}+gzUU6LQP6vz6Ufn=@k02o0AVOLogzEL* zY!;9Hr26_#)^4UHlC_-lw*^I~=~nMvL3^^~xygxR#-+JerUp_oGdUY2OeDa&S-Sf- zAD8N+=7N^MYm@B+4+QOv14~l)-kU&(J!}w_9uGjk-g=$zVl#o?!scyI4QD|6g+s8M zvWnu`BXQtKr{BT6&e-yNv3n(E1vigj9AokaV&CAkp5+Va8t)wd7V%e@mfjbBbCxWm z&HNRvx|{DG^eP3Hev4F9Zt5Kx;VY@eNon?2=`&<6mW>4t8i#yq)cV=Rb0A=^DG2szy#mZ*FKY0rPdmdZ+zI#|) zpGEF$+W!R@)GB(7-)a2Ui`JE>g&U~-q~As!2xI+Xe)aD-qqAgsjzH-@+WHrsFnWt! zq+`bYqNIiv533nx=l=w(@qakf`u`sv*hIGf4Fvpu2WV3gaI$8px+~HGy>KS`cVPS8 z{@?cZ|Fxf~onB`yPk>Md&A^p!1oJw;>w@?dl7f|TCSecd^P;1xz#&$~j+uAfYpm@p z4*WmySxG?M9Cn6xMU!wE(8HHuEV(v~!&CgklI8%)POhWr>2Q9w2+_&Tywt0J$)1Y_ zRQ&oxFs~m~2`HiXtU4yevYy;YF=d`&BhmJ0TNn2`5Ph4@TyK-wyKhFAL%Ob|3pA7p zoCx%_`N6;J(SI99%n}i=`Ek6^AwJmD%yg@an^XDc&qd?wF~SflNUN|slXw5qDj_|d zoim6%W3CtSd|ai)(vXbe4rAlBotCbXgS3*Z|tP=~$BD5$33`OIznoho>9rXWBwN&bp~2a^;I&X`$3X+M@yONUi}?8_WM$QR+UKVQDG7CH*|hWiqQrg# zE;oJjvT>4Ns>+UQ62OCE6=?w8f*}@GRt3}9cJzAh{sX%^1+E4)IC|muijoT*PeY%z zns26nv8%W{e^gaKddD27geC1kD4G$PUr>0}1e+;LObZEl&vBzuDeAX;+;?QH+4rUj zSv842?GT*B!?J6mc?bfMCzRXo` z2ah{|^S%-xez%aP`2TNV$tDNBM$x2AROLNw`JzdYI|ux`z|mL+LixPHc^c5)rC%)T zs2-9bg#i=t-F7;&^!4SXWnrO>;8VVs>0}llRD*Z&kN@p04G1U<^Fqoh<$){m_{l^; zQGr1Px$1Ly9d*%+QEPtRo2wSE-`4hyNGmXh@6>=h9Qbq&ftwX<@~*4(1@5dCt`Yqx z)4OhK|J#Q9uU#J@n>DqvC=@Aul>I3y=u;k0m=ued&0mN<(5I{B(&-^EgVA|&z>ojI zIHbmAzBQ|xKRx5p5->x;J!+@puZtmsHf04g(|u%ZtP_g5vQpFTbmZEgPk zC_9*Y>q~a1fpuzPMoMN0Uu>2(;o^?a&^eWPn3cMG zm0=Cbb$UyD64^6o<1XfD>-Ck0-^(&BU}%`svLrbfJ>WCMG4b*7my=dXE0A=2joD^1 zg`t|f6izXt-5RT=JjQ%wTORw<;#(&ZLlVQVqZ4HKECkK2>vaCWdd_G3SRwNw`D!$l zbh{s5JF+du`3;ShN}8KBEtVku3N;=ht?t!ydUY4hj_^kn%R|#RFJngH(~^>s;xfpC z%$K9>)Nzbw8$ahM1LHrf*s{ZbPz9=LVy|Y1!d$fc>OpqM_6dry#)r+@QtGqIH9d2% z?=wJb(kR`0v-L@DbKAyj1SQ;^M%?{%U#MvB{^SHa2-Ns|z`Z8pCp4q?0tAFOCC5cO zTzgEkCesiT2UNguSKJhKd!;j5tR7_`$VK#}v0V~2Y{#b^mobN*ms4?Xly>GX+aWytJeva72jK1QygR{ZOfV$T>J7@?BEjUBJ+Dm?odoFC zngRuT@(Ra`%GK|vG4hLDOWL2YeUofeOY|C0t{Sk9>I!a^&~<%f+C_!qg`mRk(1dpj3(wGqw03L?fz5D1p*w4wRbw8p2HqX|0p63(a)*9Z?RLLCUi~geYXHi8 zqwaWpP!+dZ$4i3b0yT?(9mYmGdMc5Jb4cH_Ms35*y0f!CW;2yb+bf5y*GUT^b$qs~ zDdz<9yBAf$tmCGOHQuC&mRmEA-fka$pMy9j->~9=RHYY$(m)=8& zD4Gq89xO+pdoq#a(Qrc68>&@6(Kd5z;{bYf(<4(p8n3W1DEk9QF(k1U8!ucK zh$gpZaU+(Ce34S zqFr;fFB5WbS;f~eAEUDqr3Lu*8ud708{7^W7yA%Lw6#tiv4yV|bc1Z^MnY7Zxy_H9 z*n?JMlM9c~=UX!u2xDVoM$rtTnC%P)et=hy)07B5@C5A&E>yO}^{S^jpNyp`tG6~C zjQ?@AAyOava#iTNzTTVPeb!Scm6dG$z-e)&s@y5l|1f=~SU>J`rK`46?+<#V=Cp{r zD4-231BNe7lT1fZKK%a2tMq>3TqIl_XW8G*kch3Ie?zh%qI1FXT%^snr;_w7mBY)&9vs+2;hD!wyVxTW!PR8qcGj6Z5STnk=6&}8lF0t4 z!H`h(_Cc59=^Qy(hRMF~P)-9}(}1uAlSqE=(6`<1bzb5}N#=Gxbcd=~eqTTFa+jUY z%?$Xkkxq50wCes!Bp9^HSf5xlvCQ3UgIXv&ucvvxr|4_YYBKbQ6ScgwT*mOg%Fz%! zIa7jB{oNWH!Lg?~)Z#2nP)uq)4rAiuo2;8A6#71UQ9(9SV1oSOhixI}BnI`^43(b! z+lN{Lo4Ub)YOhj|8qo1%CEqB=8fgu8<%0tE!}I!Ke#p$Wn~O)B4?~C|LYZh(A3)AZ zR?vx4b5Ik>2yq{q)!Z37d`J+_ko#`-$1wzvvyfG$M2F|Z#3VD#4L>~^0aSuGf?>Au z!4)Mzn1-9zN~{If?4OcM^g=qC2=Pm|b3norbujSu%}DN4O#aBwtl(2LRGHgk4tzY4 zh1B(IPkjFVy%h_h9nq>PMe`!3KUXq?U?RwVPyDte=}i*krfcULd#C)$$y7uz7ZdFb z{8vnQFGU)p`mC=i;5#9esAD+fnG`4b*WhJd7|V2Y!)@#si;jGqHpdHLEd(V8*rT$M!$U9x%y-0AHA?iAZ z(ZI-PsiA(;72sU73c{~FG?}7IySD$HE`dWy2A>xnHY6V8{_~$52KbMJ$tbh%4r}%m z-?22pH25T3+{_|96U^~_E%94+_P^x--l$k<77Z^{WX{4XndDd9)i|R`WnpBd*#Dnc zs?i;&h(jLJ>H%*CgLPl4CXAW$7Ubu5XGyw69yA*P1e112Zs78hl6)K+e(GAj$pDlc zSV?b!pHqx)`GfnqnszW(JV;;Eu+h`r#ieLtLrq&zn=8N9lLl+enHhC@aeO*3G%_$c zNK$ec@rylV^aH=IuTN5S$fQ>(wR_IK(h&z>rO7ZeE4Hg0Z66p~6lg`-j+fYu1Nd+4 zPjjBIk=}380=rbe*aDnBvtR6L09>D4cuWH%1f{;&1E%3qSu>;bHISHG`Up5`elQyR z6%GXbLjjL<@EbtDvr2#Y8iD`dkp~ch{`=WqSf9?;lz`2HRs8W03D`5#H_7vTT^ diff --git a/vhdl_intro/vhdl/.gitignore b/vhdl_intro/vhdl/.gitignore new file mode 100644 index 0000000..e9fe0fd --- /dev/null +++ b/vhdl_intro/vhdl/.gitignore @@ -0,0 +1,5 @@ +*.json +*.fasm +*.frames +*.bit +counter_tb diff --git a/vhdl_intro/vhdl/counter.vhd b/vhdl_intro/vhdl/counter.vhd new file mode 100644 index 0000000..5daf97c --- /dev/null +++ b/vhdl_intro/vhdl/counter.vhd @@ -0,0 +1,33 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity counter is + port ( + clk : in std_logic; + reset : in std_logic; + enable : in std_logic; + direction : in std_logic; + + count_out : out std_logic_vector(7 downto 0) + ); +end counter; + +architecture behaviour of counter is + signal count : unsigned(7 downto 0) := (others => '0'); +begin + proc: process(clk) + begin + if reset then + count <= (others => '0'); + elsif rising_edge(clk) and enable = '1' then + if direction = '1' then + count <= count + 1; + else + count <= count - 1; + end if; + end if; + end process; + + count_out <= std_logic_vector(count); +end behaviour; diff --git a/vhdl_intro/vhdl/counter.xdc b/vhdl_intro/vhdl/counter.xdc new file mode 100644 index 0000000..3a06215 --- /dev/null +++ b/vhdl_intro/vhdl/counter.xdc @@ -0,0 +1,27 @@ +set_property LOC D9 [get_ports clk] +set_property LOC C9 [get_ports reset] +set_property LOC A8 [get_ports enable] +set_property LOC C11 [get_ports direction] + +set_property LOC F6 [get_ports count_out[0]] +set_property LOC J4 [get_ports count_out[1]] +set_property LOC J2 [get_ports count_out[2]] +set_property LOC H6 [get_ports count_out[3]] +set_property LOC H5 [get_ports count_out[4]] +set_property LOC J5 [get_ports count_out[5]] +set_property LOC T9 [get_ports count_out[6]] +set_property LOC T10 [get_ports count_out[7]] + +set_property IOSTANDARD LVCMOS33 [get_ports clk] +set_property IOSTANDARD LVCMOS33 [get_ports reset] +set_property IOSTANDARD LVCMOS33 [get_ports enable] +set_property IOSTANDARD LVCMOS33 [get_ports direction] +set_property IOSTANDARD LVCMOS33 [get_ports count_out[0]] +set_property IOSTANDARD LVCMOS33 [get_ports count_out[1]] +set_property IOSTANDARD LVCMOS33 [get_ports count_out[2]] +set_property IOSTANDARD LVCMOS33 [get_ports count_out[3]] +set_property IOSTANDARD LVCMOS33 [get_ports count_out[4]] +set_property IOSTANDARD LVCMOS33 [get_ports count_out[5]] +set_property IOSTANDARD LVCMOS33 [get_ports count_out[6]] +set_property IOSTANDARD LVCMOS33 [get_ports count_out[7]] + diff --git a/vhdl_intro/vhdl/counter_tb.gtkw b/vhdl_intro/vhdl/counter_tb.gtkw new file mode 100644 index 0000000..b0f2cee --- /dev/null +++ b/vhdl_intro/vhdl/counter_tb.gtkw @@ -0,0 +1,30 @@ +[*] +[*] GTKWave Analyzer v3.3.104 (w)1999-2020 BSI +[*] Fri Mar 6 16:40:57 2020 +[*] +[dumpfile] "/home/xiretza/Nextcloud/School/Diplomarbeit/Diplomschrift/vhdl_intro/vhdl/counter_tb.ghw" +[dumpfile_mtime] "Fri Mar 6 16:40:14 2020" +[dumpfile_size] 814 +[savefile] "/home/xiretza/Nextcloud/School/Diplomarbeit/Diplomschrift/vhdl_intro/vhdl/counter_tb.gtkw" +[timestart] 0 +[size] 910 543 +[pos] 357 86 +*-25.819012 1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +[treeopen] top. +[treeopen] top.counter_tb. +[treeopen] top.counter_tb.uut. +[sst_width] 221 +[signals_width] 169 +[sst_expanded] 1 +[sst_vpaned_height] 125 +@28 +top.counter_tb.uut.clk +top.counter_tb.uut.reset +top.counter_tb.uut.enable +top.counter_tb.uut.direction +@200 +- +@24 +#{top.counter_tb.count_out[7:0]} top.counter_tb.count_out[7] top.counter_tb.count_out[6] top.counter_tb.count_out[5] top.counter_tb.count_out[4] top.counter_tb.count_out[3] top.counter_tb.count_out[2] top.counter_tb.count_out[1] top.counter_tb.count_out[0] +[pattern_trace] 1 +[pattern_trace] 0 diff --git a/vhdl_intro/vhdl/counter_tb.vhd b/vhdl_intro/vhdl/counter_tb.vhd new file mode 100644 index 0000000..b48619e --- /dev/null +++ b/vhdl_intro/vhdl/counter_tb.vhd @@ -0,0 +1,71 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity counter_tb is +end counter_tb; + +architecture test of counter_tb is + signal clk, reset, enable, direction : std_logic; + signal s_count_out : std_logic_vector(7 downto 0); + + signal count_out : unsigned(7 downto 0); +begin + uut: entity work.counter + port map ( + clk => clk, + reset => reset, + enable => enable, + direction => direction, + + count_out => s_count_out + ); + + count_out <= unsigned(s_count_out); + + simulate: process + begin + clk <= '0'; + reset <= '1'; + enable <= '0'; + + wait for 30 ns; + assert count_out = 0; + + reset <= '0'; + + clk <= '0'; + wait for 10 ns; + clk <= '1'; + wait for 10 ns; + + assert count_out = 0; + + enable <= '1'; + direction <= '0'; + + clk <= '0'; + wait for 10 ns; + clk <= '1'; + wait for 10 ns; + + assert count_out = 255; + + direction <= '1'; + + clk <= '0'; + wait for 10 ns; + clk <= '1'; + wait for 10 ns; + + clk <= '0'; + wait for 10 ns; + clk <= '1'; + wait for 10 ns; + + assert count_out = 1; + + wait for 30 ns; + wait; + end process; +end test; diff --git a/vhdl_intro/vhdl/flipflop.vhd b/vhdl_intro/vhdl/flipflop.vhd deleted file mode 100644 index b48e244..0000000 --- a/vhdl_intro/vhdl/flipflop.vhd +++ /dev/null @@ -1,25 +0,0 @@ -library ieee; -use ieee.std_logic_1164.all; - -entity flipflop is - port ( - d : in std_logic; - e : in std_logic; - q : out std_logic; - q_n : out std_logic - ); -end entity; - -architecture rtl of flipflop is - signal state : std_logic; -begin - store: process(e) - begin - if rising_edge(e) then - state <= d; - end if; - end process; - - q <= state; - q_n <= not state; -end architecture; diff --git a/vhdl_intro/vhdl/flipflop_tb b/vhdl_intro/vhdl/flipflop_tb deleted file mode 100755 index f101e922697adf2e31433240c2649c94bf3744f7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1078296 zcmeF43qVwL_V_O-NoIkSMXn`1EX=j2v@o^Ca_U-GR8nLQF+?$6tb);l97BrRNK$FB zZI9WqmThZe+jOba#z#Ws7L^s1TT|IK6Kv9B6Yb&uobNez<~!%kD74wn|E`qW`~BSS zIp6broqN9DJ9C-m&ORqGA;GYJx*2B}nDUhnaq)$;>8X5X7%n5r*bjcE8~u%afOdy} zF1{*{MTvC5J|=U%Zg51oI=(KC{Wza}v@OIV%EkLho!3M;|k} zz3ijio@gWfTgTVsaWq`RANz=T@h9igl1X@ee_bz?mdAysC~VkATkax|LpwYBV~?JT zxx9GoQgak(w2$1n#);FXUUTY@6Q@raJbmiS(z3y2S*H#@b;t>GW}R@ds`tSlhGXj7 z3v!K|;Fd)M2A%qr`$l%zHWfy8`}Q1^@6TAO2kf{|ezB{!E5{I2@e+U+5Hr%qm^&2xUy>H!PD<2q9J@fciZv1Lt zUBhq7uQ-1FX;bc)w|U_W4fCh%SNxazZn|Xbciw5Q{j2JM=kM-!*V9W681RAbwyW>C zyWioL964a^9k=Zmcj1AT=3oBa=%!(={^Rc(o^ogDE&HE$)e)yYJ3CbT%+*_`W#*^! z{QK*-@qkw5IDVx-LmlX#hH(S1So|btmRKAcITrWE$$vqda+k&7XU5_Gj$9KIxu{-@*co8su{6=yy^ z5GQ{y4&M@|+>SW;C&%H}#o>RC({7)|DfihB$hzj-%&j&=c!^SE$BYijg>+qM!@7tm~QQpF})^gTF_Z-*Yzc133SmzaMkY0mQ#!K7{q$(}Vaj=Ck6|_jS(y@egF+MbPf})4{xN&uIM% zA#orpU?b@?Nl$j zeFntQ<5u}uSUdEHV`mcUZx}}9qTj)v?p)ucJRVXA8y4sP^JdC_7}$Y7pE7@j^Pf-H z7zKKI8(;3=WaI$rj7igJT`^(IxUmx^70xc4GIdT#;p}l^&z?SOX5qMr*Gw-oCQO(z zW7fy8+KmGN#;6 zFn!jXLO5PGdumCcQ983=){NrWg>&WrQqogyn52$2KWkR$%t?lwIvKLfE}S?C4#lM< z1*o}z%9uQPdg+`ZV@}EJg5v9q!m_C)#+>5WQ)iY;HYO{DaAjt}jACPQ$!sWfA`}i} zc0ti>Fn9vkG8@o@;-X2jAxGi#=}>v7cfqs?1x3>)OrAIu!SpgfC15lx&!!n*$Mos5 z3XF-@%$f~_l}wsC)0k3NQap7MYMxYDjE9n0lZ+WNCPJ}=v%nI#UZ8%@F$zc;@=S+D zE1jt>mCPufJQZ4g&eSP|Gm1;DpHo<3%qX0JhY1s)S!d3g07XtLnL2AGa;y&)IdMwi zoT)by8WZOfluVsbXq3zmJf z#FAN4@z-?Y8fe&(*%J#24Xn*{W%Fe2ElkXT5(~;EPMAD(=EUh(F=&9f*OWp77R)S} zj)u>eHCIuDP#4?^jT9TOr1G-R+uRBF#DW>i8B?QQ7~x&wHjg`JmLIvCr&RV{Y4XJ z!fcpu&Gn#gQem0OVNak51=pxFSX43_+5|P>Sfj~bCd5)4&H%P69do%I zQ7Bv%)Ep)PBCH}bm^#M-)Z9=7&}6|=GFxStU5G7>woaZtwRkej@ChZ?7^5z@+!&ud z=Bx=PopACAnZ~(rdiI2q;e2TL{G=h_)5zH=Ck#O+1nvscnyEhZ{rV2;Z`2*>7>Iy|EI@+<4-o++I5t-ub1|nx~~D& z=Y+3!H(uuJa4;6%c@IQ1`xsZkb(A@H>QvZxOERW#y5umF?{55t)1PMbHCvEuhX_pli-t?2L&%?-XXZH*Z6?;A8q@~ zIDfL>H!;r;+`~Lea9giS@CBUT6ugRgj^GQK=LufJJYVp|%!>rCWnL`!{mjb*_c8Yf zzLa^D;D2CVBlzRYYXyIjxlizV=5>Pqm3h73%b7O_{w#C9;47Fn3GQd!EciO+ErK^O z4+y@2c~J0X=Iw%SWZofo3v=Vc=<&IUd9vUE=Ba{z!aPmz&zPqR-pV{f@GZ0)kn*~3cd5hrZG7kuTKJ%d9 z7cy@b{1WCJf?vkm2t<$nE0`w>K7o0v;04Un1fRk@UGQnlGX$T-JX7#F%(Dc)j=4+l z8=0Ge&tsk=cm?x3!Ea-pFSwU^k>HD%7Ylwj^D@EjW$qFDcg(84LX1&k%eI^Gw0NW}YSZR^~3jzhiC+zJqy= z;N9M2|1WqC=J|r}$Gk}J6z0W(AH=*&@I#q<1pgKDD#80RuMzxc=Cy(!$J{6QAm(*~ zpUAvk@KcyK2!0xKzu?1}Hwk_w^Jc-%X5J$Bxy%ECpU*rf_=U{d1;2!Ohv1hnH$ILY z|5q?i7JLHpRKW|FrwKlVdAi`!m}dw+i+QHtbC_odejRg{;5RZi1@|z|5xkOlp5V7L z&lh|V^CH0)GcOkWx6I1~e~`IH@JEIq*uM+%B<~4$!&Ae9dbD8@DKc9J>;1@El7yJ_D4T4|B+%NbQ z%$o$Cz`R-T0_H7(PhlPqd>Zqh;Io*w3qFT=hv3&SH#SF){~MVn3qFr|s^AsO(*(bb zdAi_U<{5%7VxB4Z-ORHDzn8g7@ZT{v1%HTnj^K|l&lCI)%<~2R6Z0a$pJHAt_+Ocq z3H~?c9>JeyUM2Vo%xeT+%e+?bM&>@jUtwM+_-oAT1%H!ygW&Hl_Y3|$^CrPRWZo?J zC(K&}|BQJ+@K)wQ!M8AP7yN7H9fEIVZhRU&{=Z|MEcg!Qse*TVi~M|=;60e93%(!o z48c>FX9|80^DMy+W$qIESIkYp`!mlG{AlKRf*;2`U+_W9iv&NBd9mQ9FfSAQH0B<` zhcmAd{7mLGf}hR2R`7F~`vgCqd7a=FGOri>66OtpU&h=o_!Z2X1fRgXS?~hpErL&B z9uRyQ^Pu3fn70c)hk1wK*D*K#89n}QWS%VeJm#r_S1?Z#{5Iz4f_s@~2)>AUrr>uo z&l3Dz=BD62=6QmgzF@W(lSk>K^riv@3BUM9God6nQz%xeX=?Whwx!15Ip&9@`q*|o+EfVr}G5&v;HE%Q(1pi z99|=MKBsF1Z)W{?8{!GCQ zPGPJbTVkNK=WRq%B7!|8(CdNTztW<6PgdziZfuVG#! zxQ}_6;C6W)!RtAHmEaA`YXom%UMqMD^E$!XnKuY-+tDO=@;l^LTLkxW`x~v%?H{n8 z#|v(^f2!bizo!ZA;qj0zxSx53;0BNLOu=2uvjq1rcL|=(^)dx_G0zd)9*22?dpLi- z;C|*sf*ahv#e(NEFB9Cy+#|T3xliyi?#DX8Z(?3AxQBUz;0u`h1+QY>B=|z+&4Sl3 zZxMVk^MK&B%#->1W%lPfe9Y4%XZZ}l>p6d>;0C8%g8R18_%0H>iS-l>Ym}d%Z>&X(lnDe^?xAU8Vr*nOB1kY!lC%A`szTkf5MS=&I7YlB1 zx=iqNPS*);yi0z#Uhwn|YWD`gb6O~Wli=yBr&;iP*3%+6>j?^;$$HuaH}<1X(su~% z=XAyw(c{EqJ(+^%vz{!$Z9PST=dqq*!TqeKOmeoTPVgeuQ!jXWFRE9A;I=(M!OQIS zk(}GJLvWwnK3k&O$7i>Xvsh2F;LWV3MR1p$ZjWwfll7zu9$-Cbg6Fdym*93k znu6QoBuDT7_j{h;={!F21M_J2%gWpR&XD4 zpWrS|HwhkOdjf*{*q(O5ZM!=JuVFpL*U|lA^VB#zJq~vX9^iVJf~S8+<>d&T&pc0X zAM+}~&-jS?(I>d)8`57dxXJmO1kYg}5Zu<&E_fd2Pv&y$bwob%bir*snSvK_ewX0s z{Js!Va1Yy&Behk2^tHO$im_cPBB+!#RmU4qwT6E_9-G0zeF)Bt?}Hc#-|Hxn-w z{NR5P_Xu88MCDcqp3l5S@M8k>1>Rc0A7fr8c;62xzhCg+G%B}A@Bs5>!9Pf(?~Aqw zK4~A~LBZ1!h#TKV_iu0xm76ShfO)Fm<8nz)n&5#eiKh!5yoPv&;HN%CJX3Jq>b%2<~H^BlsQ5NKc;N{hlYDFL(~~BEdg@pYj(A?)iYYNARWvJbncCGp`Z+J~w%; zTES1hkho9q2btFi-YdYzbYpEErNSWi3bGVR8Bl7_|0z- zZx{UH7UBl)CvnO!9^vsRcpdXp!JlECCioiW>4G;i&k+25=9z*AnP&<9Z{{w+yD#N& zEBHaoiv&;Q{UVRx9^S{U5!~Q?#ahAh`T34da^CN?_wDRi`T=og4^qnI>CJ$SNkRBaoa3-J?C!`+>XP8g0JBG9fIfE zfE;a6hj%ngqAw%%I?hb3EB0xLs}r$ES9^ z`g8s)!R`D-f*;BG%LKRc*9m?!=Wh_)&L0#!gYz34zuR{DxZhI+cX8aFCb%6}X9%8U z*H>`c9#e2X@6+ZBo-vN@3q^vbGcOjr@6i-DmI?mE0OB6Ohu{mm;HOIPW78>rjo@~E zpWs1`2kHb5Fs~Q9<~?fh2Ep?_BJLO5F1INTZ;r#wMB4wh``1nfyG3(f4{GO*I6S#~ z?EH0-_aQwEg4@rL{es)iotp%|&a1k`$V-YYw}#g>wSw33c&LlRGxmwr(@{cp<_PZL z_%ly%AM+x?>zQ{5?z^4zr}v01*M2WdhT!)5aWVzB-$Rroxc&Ykm*Dn$YKjE6-=kD4 zxcwdjTEXr2I{5^*-@8;Nxc%Oudcp1Y7BxuD?_=@{ZoluT zNpSo9KFxyL?;C0n+S|FwYYF5auqyk6>;Jel+tO!H;8}C-@1>^93Kmyh!lj%!>tgF)tI` z&DzmR#2;FmD36+Dl*Pw;%^b%IZ2UN3kt^9I37nEM64fq9eQH#2V*{5Iw- zf-hno5PUK7py0n{ZtNR9o*!YJEcoNhQw4vDd79u2%+m#5#XLjswahaG-@rUe@Hd&e z1b>&gDfoxXa|91D&lCJh=J|qeV_qcqes|J*EEc>k^D@CRn0o{t!n{iGQOs)u&t_gL z_|?pPg3n@JCwMt?zu>nsZxVbF^Jc;A@zx@^-7f*bm$06o;P)|a7yJ?C9fCj3+~^rS zo^3yzEVw;SQw6u@X`0~nJWUteo~Idt+w(M2aC@F+3H}$h-zE4O=BD7UG0zeF1Lk>x zw=&Nc{0HVmf*)`fjc1SGc7Ig~ZueJ>;C6r23f_U!w(v@_L_p~#^F+=0@q=qhLYFvMRWtPSd()74AZofkcFPj>lrsdDk_+*XeY5Z3j z&)4`&jTdRWK;y+4PuF;v#__%4_K!#7N81pNRT`hA@fwXEtMOWm-4tvVZC|e!30e*r4$#8ux2_w8on>e!j+=HGZMSTQq*L#seD9)p(jg{shMwzY!!n<20j)=V_d3LOfsN z_Pa0fN|DC#{blw~vBvfHhn8u)kDVEg9*rNO@hXiUs_`0)r)j)adUE>)V@6h-_ zjT;G(aeKVRlQlj_P3evlcVvWnw~t3pQ`bEjpI9&?VlozXW0;r#Tp-`@iL7M*SJUHBQ##6@sS#@(fAn} zuhsaO8uw}3rSUqAkJ5O(#?R7tgT~L+xL@OLjW=oh9E~??{9KKbo1+4fJG#xJoU9Md&EPUCw|_qM>^7TDVY zds|>{3+!!yy)E#+(gI(n9I@T3XiGM|Np~*V-!LovC5bzl&5Cu&YgH5M82T~b9RoJQ z@09*7IKy-iUJC_wz|Vm9FpXP4p=OnS1Jk(V4*6C3WlVR&biGQi!89(tLq3&$4%4{g z4%Mji)0oDkcF3dBk7F9c!BDYEKa6Qya)xRegnsPxmA#;vQ6N2MRfG%l$_#VY+Urg149%2(<8FpW#-P>xF9g=t(m zhg>RsJEn2T9LiMbTQH4FB`^nsYht+|j(rF&u;m$;!!l}^MoE^R~UD!siL z(zv7zrKxw!!#~gL(MAv)$RKmlWTSiu#ek0 zZ66c8biF4Z59DKCJ|3lxpiljve>&-3W31P&Hm#4%ip}jgr!_wXt{@ z`Rjh&j2(T}Ksn#kK=Sn^;K!p$+D?UQ=-T$(5lHFpLB(tN2>E}n@(;Za z4+DQRt#)(u7iXHQJGz+(>&@4HEIAkyJjx1^cLXM<^dB9ONBcY@OF`BsJtfzy7T+m@! zW{Mi)A6gV<`by3fd)u&;)mvv_~w1-xejYjYE@OJDRr zlN}4^!5d!%dPDDSD5R?U;6|lDoi{?&Nm*m?ZSYoX1UHU12fEJPVWmcz5Yt}Q!PN)9 z$aZvCIo;{TJssjJtpH6=Y7P^k6ta0XW|%l%EGyB#r9 zbGZAP**zNYR@gd}@}vSyS>7v=^iZmMV%F-E#r}%+gav+E^COiikDM zU`-Q~()*xr43yKpKvXZfJTmsBO4AIC}tPDmjFXb9*#kJGWj+ zb-9qtHK`OZuq|bI_rxmqKLJ2N5%5{ca`!(^cYjuLD4*Dh_9sP9gSLcLuT}$lxb5wQ z|I=QmXv>72Xn~;w!$=!SZ7*O96P#V12-9>8T!Jh)$&|&!qZ;_nVJ6dh75&WC7B$)I z2?1oNE}Tj-_N0f>@U)}R9Rw9H8iT{#ZFAC1Z*B*i<0yj}V6BF9JEW_6C04kD39T(* zH{uFgZRNs9)GXf&DIhc}-J45#ufiz@PNODwKO;Et6T_gY-3xz{u#;8m=cw9vU0ADH zkW8^_33hNT160evaQEgp8C0tPO?|8|oOVw<5^EcTgsN>Ip|$xxsqMq#ptf^9wrl&x z8#`Uw6W);>Ib9e2nQFW5&sy7OE`i!seq`77`5QW2TNm5}q0_Wsd$TUw)!Gh@)3;6b zaMnBcmH(uJS6>WOz6-_`4dc;jUIW&I~^3XC2dl9H{MIKCo;18T@V1PWr3qZ^|+KxGvn)+Qu2vCv~=i zt=tXd7S#}gTe%|%}K2Fo1)SBf{4A#k+Zo5OdS%?Jm8DBWo={KA{eX61G_C#W0E zyI7Vx(CFSwej8*0b7peJ_1zPV3isxO*3Y1vtu1;!Rp4M%V36-={dZZCGN`vhdDuDR zz`d>s?$CZKcLh|{Z+cZj0#ZjvM;dZPtcHXf*hV0b8af2-hSZSvl!hA;?o)O{LSA*t zswiJ!+2HfUe2dCKy!A95eGLf@_U8CtfjI%fx# zX}tkA*i^gNwmA^^t1j1z+#GIimmORD90T`v;emT>Nw~e^4ctC3pq|4Kx3#nlI%!}t z#OtjPWy2`5v6UO7fi=4ak7#|6w#_*Ksuq7>v*?>F+WInAdhe2mx@qr{2z-}X*!}M= zOshw(FNW=f#?c9e*cnhO{_uuDj2(gQ;A}X?=pJ)Np!+YmBLIc9gF)cj@&15C@98@N zK`=LHR`_B2h_-~hIoPDIC(|qCDY(VEgZ6ICt{cCn+Ia{qgozD;FsPvs;%wPWvomm9 z(N4v-t76w!?zV93fU8vJCJwAuwM`tgdX2e>11s3*O`M9hGPu`Nt#{vz)vU5_VlmK8Hc(Zb@10N?zbE-4D;bhUH$|+EblkS1iaf3@Ck#!W5v6DfC7Jl1;^>}ujFx+mTwAh%7W;EkPG_|S{=eLDF1$S;_6lDPg#%xd0?05Zp-~l zMfo$yb9=8kmsCFm`?Wzo#I9cVGnTtS(-ZW%@3-6-`~*>(A5S8+Sux1%x7XSvtGZ@V@@RhxB@+HjF0u*k8h$aNOZ6s}DZ)+S{+sNDb&rDo%PD9{fDavQAX z)q!paYvA^r05|FeFPL22=jD0uBuoVxcZ0S7%)4Rk`%8|%bGCTj3itg9q3y6ASuq1n zzJ!w+)gG|D2T#_@!drav+p4f(tnyk2{%TAsx5^s3V6^4-jJB$8f<4tqrnmb5eAEFg z9X!eJ&0XNFM2^+E3zv{L31)w`0|LscIw)+q1RjlMxT?xObXApp0A2VY zEHf&~H{)=*gIa6TdC*$_YR1;w44njdJ^*(D3mq*_1Lk=N^W+A@L#hosF$gBWkb0-w z9#Wrp%0KBA(S9>ZE&@r=`w8x?!tlum51)#*EU@i0ua102yzlN0$Laia7 z1%abLBh8QZJ>~CXWw@xnVD2@L8w`1$E0d*FCQd`wQf20#^a53uv!OZ9g_tKF^TcWB zSK)C3*=9F1OZGv@W68EIH1q>x+dC#m2jM}5*X?X*&huByvkvp*#%}17*|w;LX5qOg ze48pD($LQWjBaSoZDQ`rA$Qjr`eCX}Pn7oadkCLY}REkKfQQ-Y6TIC7(da zl^_YWb)lirZ-8%_iPH11+!zhbdCtQ;S18+JH}pWZEvlhecz+Z=LKP5c=p2C24b8bf zJO^^OZ`gT5Lq)-D*fZzPRFOwfaydxqGbad;%K6Mw{u$03&V3!`uA*{26K=qq-{W>$g)qJ9(5Sw@0|_OFK@@s;3=!02bpLdQ zw-!Ac-f%ceFB4URXFcR=rI%EJQI%Ox5Zpv`IrS{9_o`*o62Egp-JW;_yBF?fDl)9Z z4{m@29>UgPeZt|BvJNkkb$G_sg|jK^C<|KWN9)SIQhF&5T4#cwH+LhH6_#V`mXmcq zj0WotAnWjU1~E@1KJ5evJcO-twjEw3>+mdQ+ff#@E`Zj(_aztuJ6V+-P}TV>@%N5- zhB0@4uOg7+GaQ&IA#cFXrh>hE1O77J4Y)E7G~%1%qI zsC){loiKF<&t{;~dOdN3Xw3#u0j!7)hdV&tmHQj3@Do2UW3Pns;G%W#>41TWs*mkf z%m-UQ0UjXYtNaEmu#*%;cHpSSrlD3ZqWpO98r~(Cf8ZLc*{U>usje9mzt<%cn$E=8it7pQh0oCU*UOA|IBidvNi!*6In zHR@FY=CcYjE7Rag#Y+5*H&B*;5apjz@_5Cn?1%D^iBN_~ZzUe0jZQ~WiNCGNW5VaQ z(JDV0jh7ro55z)GSB2trt1<(AgVCnagNd-wzlKD`O8l)E9U2Al+Z&(UXxs=O@Bs#^FdVP;HvRq6Hsg5(2NLKgk@t0)|%P5<0xbV3E)Q zCWnP-T?r~98lgn>RP>|gQJ1^J5lJTgBm8-UJ<3J@t5uDu&ba9HG|D^9gbv>q5@uv% zy0CY4gNwe9tRueyZM+i~4I>ae%y_hJ8hoAuth1d(4q1nXpVdYG<#U*JJ9W{c)x1LA zy+^y~w=Rvi=y%~f*tY7w%|*kS28YM+kuW^6*LK}Sv;21>K)#ofPlMsKn_V;)dMg%s z?;13^Yc87Q&q4XiP`(o``tn)3-$n0tMHgN4?GS+J4p_P97sp3y>7kU4a6p<0iHeo@8v|07&qMjCN*=Fp6ds9HFdT+T z;~~1z=+M0?GPk2vB(~8iKN^iuuEXe0PK83hfk2W%T}uVy;V2p-^RUtA!YfwdZ_VgO zQ2rT|UxJH}9+ zfU8kaxB+=Y6pnp~Q8*qGLQU}5_pU|Z8M(G|CKnnNg)1bY@ITZ;??*2o8-pK@i`IfJ z-WHCE!U@_@_!UZ53>SaX>wBh)pAt}Gl03oAUHs=XOJ)y&96W2ZyWLWWqDvC<$ka<>Ci{bt(#PhoKtN#jjEm z0Wa^-E`HJZ5f^_qoChcW^}o%RE}rE}QT|pX{|mTyF4Vw6d#gg# zMn|VzJj?qtLH>0JK0D*$-zOPl3*{eC z@)(8h=8YCE^l&Wn1XU;o-kskIXZe2|5At6?@Y$J-mYl11|3=HhV{GS&%T4|O@y_Wbl_zkPAfbkQGe?6iwMfJS*+26Xrj@LYBmQFsfs ze$+Nl*Io44WF4uBj>0wd@X)RID*VV5tfVhM>n4zOyW2%S`sS`&be5V|d%TMt2dIJ{f{SzA9H5bkDr=$G& zDBp>_@F(+jzl+{+b{Adr%@4(J(a#DkopjMBD_t>M^d)}HMejj-;p>`}i>3{Moo|#J zNuzws(a_=J|F}yR9kuJK9v{ba(cx^d_QH=P>nKmOiw?{2UieXD-JgyE>sHh$>vra% z!#g117(XW*(_>GeFr$=1$%WxhX`S5?+M#&%=9t+c9cs%eJ zdb_Ueg|mD%%3r1AQ&m8V`^Z|Xf}7gr&$buNg}&1t3JpRa+10&pmcJL}>rlQEd*MAV ziMvt4>P%F3xiTllUid9=b$4%+^gF|L@hVWGukqPYxE;IcpGf)LZ*atOg&_*VGTJdlx*^ zFR&NhAFSK?Uii~$BJA-lzT41Ws*AN3{t#T<-7bC@JXxm? z9d^>iH^A2*;LaQE;=evET1zKg{B)%&hKsL$Msx9d&|dhD>y#7i%wD*gW=X}N(8G)2 z8x30Ug7G;tAs)gX>(kqlcAH~H@!@Q-qWFBWj`BoD@nJcR;?E)LK0O4i`xZRZE?j(g zlY8e;d^lUIz3??_ceT;-nwkh5d-`6u>%@qQ9}VZh>+bn)+i1CvhR6DYVR*cAAG#;a zMR#H^oaJvt`FoZ8FR;Wbl_KmYTd>7w6S*=ZMjDUI?#Z|LwZ@BKww^l4;W zXI%7UWZhY4-6dq*&Nf=YzV|D;NE1HLvz~7rk;|#6_=$^Wess|27w$Ps8Kr z6c`>umUP`kv-~Fqfc!U$QT`Wj(Ol?EEOeeKv}-P!i^{>0= zqGwmdaM4c+EuD1H$0}VhT=co{dQ^UI&ipnPju&gC@;v{?p9^nzR=McT?1j&vQ9iO4 zbod2#?b1bSo7Mcu>zGk=I9seJx-VHrd7`7}upCFxC1l-0`+;@!cPi_4=AwU&z3`hF zcC}Grsd=@>Z0q4P!y#H+*CFL|cJp01%xN{M@*skq`v%CxCFH-Va6dw8X z?9aOw&V{~`425ojK(ecQ;Vkb(`TJ466MNxTkBGZb!sx}EQZKc*(a9`E9>J0jxZZ-n#U z1(pA8F8&T09uIVb;qhmy>n@(Ui{Ie+E2^W9k zsc~IAtGgW4U8~HA;o|RxtGnC94?Z&5Iq%ZNKXFqG7yns$w3be~_6q#&di_f|_TT_(>nRc6-#jM@EBuqxwcGbO}tMEJzQpL|OvxEX(x}fv-#^RH$nS zt?x(f9r*S(cNM-cWCWUl=k&Ia{;I*;yo!LgL%>_b@XLOfjlSV%!kJfn%H68WR_FMe zU*{4I3gO)n@Q%D_$#E(-{9m=_B4Xc3$T`XO8QFp z4c`$|{a%TQ@OK3BAyKgsf8%!qS$-bM*C=_sVpUFp-(K|_zSQ?DT&0V@znb(9+2Xy{ZIt#hB0^E(uTQ z@cXIpZ|>}U124R((}ad-R&QS29e?BXr#C9atfmG1YJa}5maCZXBdG(jQ!T{ zHMJO0;C+JD2wG9P5yCfinDS8Y)A?IK(lH zQ~8x~0W@yFVvZ=%L16w${B180@Emfu%kkcb2^mqWN`4!gnJ`fc1d6spJ=-%yB; zw;I)xH58#|Y{L~*Zz!Y)It?<>yH)TE->nj9f-U5K%pJ;dsNJiFT9Jy{QFRMyz&)v$0i72>E#<1ziM+yK4hlXWn#=f zqFc%25#6racSK^zfuV^;bl8Zv{L{jTXd%a_uHAHE_-~3V_wM4R4L%guS zkRO{R1Rt-_b=ANf1r|lNE|=1Uzb&==@~YpKS|WuPDJJPkXdN4ATYHUUE0U`zT8vm~ zl@wNlOQw|N)i|l>#Ut23YNgT-PGF_-Bpwq&Q)#85*2bM%tUQ%!$2Q5JK`d4j5{s1+ zrbDyPGlj@vWvbAEv&0sTTC5O+si_t#A5TMFF=Cr;)#2D?4{|Tcq; z0_TUBq9PmQDtN$?NbrCu4j#fHDzZ`eBauzetEt7vrU(QnvI)!a?k7C!L)YVJg|U9; zZ=zgJF$l#n4!JT8Pa?))DyDHNet+`E_6 z?G7WGEKt#?Ud#o3!qa%TqAIdc`P5~KY%s`(ifrsi#f~gsTa^NujOc)-3T{iVQ#y!V z(XI9Yz3R86K0r<9c0g050vZ>T6$xmLr(W0|f?n9K7){0N6f3~IQ{AUR1{KgGl#DUM z(U-mdY8P&TVBEb|?-HtW4rGElC$^reDdO!|ThTbB2!GpKv3ctJO85;nks?fhqHfRt z&^E9anh5|S3_K=;@WC>KLbUJJsqN6Q$+inf!*b~BT(EbkUNEeXh%h!3C>LN~g2sgr z)I(@N7ZA>YGY0>NOL7?65r#+Uis1r&zrc0@@DBK9n&4T=zGYa^_!As^4vN7{Q4<{b z&Q}Lv5jDY8{>TJhe7B|+CwMXFqX{0C;|YEW4XuYhgP~PFBWi+|#W4=KG7e876C6`K z!NY|p<5YfSTmY9Y<%@ZO<4~Nx5`Wth9M2)g?P`c)LQe2bEnWEO2FAjTYNF8W#wjyg zJ#Vm|ZXltljc0M5Zs6k(HGgSpzk|ISV|HOSdV-tpDJM~eQJ@3Ws8_5@GE{@?X|cG8t< zf~(vx_i2JZB(!w$KAWa=#hBp3%C!l;2i#|$hMfiQS#-O@3666z_I>s|8d|3XU}%|> zqb7J6wy>>5H63jcBL5HWvx^|gR}UTWMjG=zd(>1M;(PD2^jG*|-Dlzbi0GE*e*nF9 z*Q8(mK6~{K30=F-{v%IK!z?wV>}i-Lv~==5d#BPBV;Vkvy*3R47!ds*f1Ky|+tmz8 zSGA6whs0D%sIB_TsYjpM1U>4%Ce}Q}Y4@`}{aRKOe#d@-Jm^Kl-QuSfaS5R>YGbNJ&tC%?bjp8i*F zvnO;07%A?n3W>nESFW1S_9eQno-4HAgbwG>180ITBh(JLe;n$HF`+*y-NPpIn-n>B zWSBF9Zwhr^|97DKGOz0Tgl72< z-v;@1h#O;0=!u|xH+`IE#lO2ap@&}-V?xgrS~@wQx8%f`(5bWS2_62ssnLJ@a3(c- z-CNLX&t3t|<_?DcZ0IS_mf${9oqyi&C#nKb3 zqq{!Xf>5p@?yCApHOTkmRrQdVyVu?&na~FG0p1aC*0mk%xzrU|Z$ej$xg4jd3)@z0 zTF2VK)}L|Lz&%TSf;eJ;sSLp1F+M?TZ$9V1Wwncaz8T(4vA5pz+Kf}m@b^#H$ySTM zgwTdA?_^heX*-TIC|N`T3W-P{ajbG2_9co0MhY$HIKnyfNPwUn2`tZ!<2c@#VLJ}k z$=(yD`-tCkdb-b}F}AT8#@MHq{vy+T&`rCV?yJ;b|6iN#71R}DUW2X}4-xF%>Av`1 zU7YR*jfpYcFA!QfIo%u0IMY2i)t>J7>>B^paY9MY_3m%s52d=lZB1V9-r5b$wnjg} z-V;{*SvM%RkdCfXZLQ;dn4$n;krn?vG!|EGfU)@M#ZhjdOo{*epZC8HHb{29K=?y= zQtVwI?0kW6A9df4FGKgGKKc5@xT0O7bodo6Z!{NoC$rzHTHy#v*P~~HT&`xq1hVGhi21O{1-u6?zt=eVc@Gex#E9{ zD*5_4sN~1zMY#qH5O&9k|Ie^M+4+k9c{RxY*OmZ(rLH(-rISm5btB?9j!&<$9mgKB;y-UFZ08e<>(VT^r! z&Mz|EhfUwrbl;!``~TW>_fuC~_5yT85k# zU$5t(`woZLxvL8Vmf!Fk$o~W4<(LbEnV@|)EfD_ru08QPx#G_lswRH)ioZZ;>Er@o z(~vk5|A$wzq~uvui8Wbkwz8-5kjy+_>pLbEGr~5Vm^v;eQBf6z5_QM50TdLe&c*?&p@SD@{vaAY! za>{Z8zROvL*%DQ@FCg0&;zNagDb%t$ev_Ch~w>^Xx73DC%=JvteMcfBS5cF$^_W}e!tq?RB{BKvwizkxSJ9} znGh^j%z%^Q;H0Jj)p0MFS6sC=*R(#xUf465W-v8P>Yt!#j)cg!b4{}^G>6?ZKRo^O zHVwYn3f}3EeBB`YB#hP{4N#C>=o8U}CWRZB8yMO*Gi72au>}PgvkDNeaFU{RAC;$>UHH7^98uX6!SZR@HsphJ5S0ry3RR<^&?^ z9OP*S@j1vH4sw%&TSzWG{a}M9Z_mL7pShEn;(>gWTgF z3mjy&gX9y@O22@J)`lY-d5$NdwP6|&&BA?%bdT8l&AY1ZNfGifk$obhnMjWaSw%#% z@ChQCh4(q~R1wiEoJ&Nra1s&Co{JsC>#U%K+D@wPY}^8yw8!R%0cEj z$RvlJiye7f4swD+s-J`ObdZj>RNXb3|LGtb9b}Dz)H}$74ziGl*2^~#(b}-cA$2Jc zm^*emk9J6%>>%k5JqI}Se8185Ew<**iD(wKIP$C~qP5sFjyw-LNR5Ns?9emak!QSv zm_#%SPj%!uhKOe2fsQiDsG(9gn@-#TeV-Bgs4swfw6g$Y(4wCI4r#nc7 zgB;=@-HB*z_|+S-?g1iN-Crf5jl&fVsmC3p)}iNChn^CL)HOsj3v(QK&LE;$_-jX= zz7CS?Am6?&J-}un+N^v-BjCedc~JTA_C|LL`EYo-X`cf~GuYe$J{-ySB&Cth9i)W_ zw5lz&-jU}S2YJ{*Y8-lQcI27vAmfQZP3_W62RW69X5lf8JO?_6;UHf$t9I7(Y$BqS z{xT7*#Tp!W9wP$%Zri+=h^F}#hg7kHTiChHz>kXIdK zg@ZiqAhiy1D-o>?ONeOge2s(TIP{$1$n$Fl>FXfL4n5z#YWo(uzcv%mEPTU}$L}D2 zCZhH7{f<1hJ4l&>Om^rQ=g4yw5zWF(N1h{yXydRK5zWHwf0uO+I>_5ZG(GExXnLM@ zNckLj?r@Ns9OPOD$#al%9At=t9OWRX4)Ws$Rd=lo+lXl6@I41@aKQsN-jI7p6zoZ%q9c96af zlI$SgzG9Ct+vd#<@`i)>9pujra=(Mz?jU6jGMR|hhT|N0&LRS1-nKcD2=u#6j&Mlz za**vWtGa7?fW|M;@O;&mBaxvTkxnUF#ru4n5~M^bB!G9YsX5 zFx8Rg$CqTkw>ijr4$|Zx%N=B?gWTmH9wM5BGaY%Zbdd8LB+EgLb&!J{B+)_In`CQz zKt!`}gCoy#M6^DwBchF;dmK^=9AvgbPrgIX1rDhZL^KPJcjQTP=-G#eX7e|VvhE){ zNHY`dY*7d-A6>Tu*#8Vu7gZ+kc%C}Bc;QzWKBTb! zj6;s)URY7Sut&;mGrHrGiiO&9l7sJyJSRzpbM@ScCBfQMu(rldR`|z3vKDNpU2i19 zp$4909S;vh_F3<)OlUoXNGe{3$BS8OQkKBu$4XCmrBQ;f3$4LQe7^y!&40_wl@9uR zcCx|`PrLA?qV76U?~ACvmDB@4^>q>Tw|dHNCG|d3{{X0e?htH)>Y?w}_B38FKKgf3 z@DD5C(JvL+=*q!v3*C&5vZCy$x07T?1C-OS-oTe5*GKHQjqCt|b~HrnxXn|38`)8h zcJx6z4kJ4*LOVS7)EdG5QFg!+dL#5LKBtee!*3_ajwUFliQ2;-v7?IY0D^WjMeL~Z zlvj}*ezc?dm9Tmc&Qi~M=|R>ZFy)SWyqB>G&3FO+8NvHf!00333>xqLd(r6j?TNu_ zpVu1?n#~BQH*toI#xc?yF&f863mDZxjn^D8+9IQYpwTT6qb*OlMMgKH(Je279qDAp z!C^Z-eonUo2C@-)7P^uPj#0bI{}@%f6$gYf!@$Oo7l;^*BM;`hJ4i+cB1Yd%Mgu{k z;n}i1uy6O2-%dsc(CDMl=mBK(gnR5B9~@;)9&HDE2b^H-5j(tO2N1NQ zBVvcwQ|=`@+R={Ry%esdY7_hrK%~cW>!RAEZhtTuKIVWu{`eAmx|Bh?vPm#q_JPZ- z7YE;hhuIMbUe{KIV+ZBvO*u@=@et$)&4f$r zvf$Y(+yvBsp)OI|HDmvPHv=_Huu^~9rd%I1G^7)Tq-G;u8Z3vl8coaJlN07Qxc2wnzV;MRsr>|~u3!YR~W6liBQk9d-Iq9fo zk;*xqbHZ@Jt`CO;kFAV^19t_&;Xp+j_>6MZ3hEwHJ+%Bu?C-|lqQ|I6H8ZM*KE}8# zf-|8iuQ8q&!7jiZjL!qiqe}}s^nr$!dvjsXU7V4!{6N!MZ@m-rZQ8K|?qvl~MY!O~ z;jV9iPs?1+eTF=ZCtwv0PHlc}i8nv#gE@EuRP*z@7Y(B(#@L6@LMw5+T_Uu79ya<< zEpB1l;#^vBS44_) zJstGY*c^4)s7puXjvA*v0%n!3uu2;$${UR9kAm%*q8f-3tNYxE2Wz!yy=hv_ru7<3 zqu{)aiQxa6FvRk@SE$chHCXO-UiZ3+Kte@FLg{(lT=)!Dll3+j=!ZKpezIiS>9v-x_~Ml==-y{Y0gHL$vyo1^B`9?CJr}EW#oNZ^VQ3QMT2ZZ3VKe&m%=V17B1FOU;UP z8CQ(Da@19$t{ydk)-Zb8fIBa*vr3m%lrN>mIUf{4R>Q8 zdEHAb_X=n$ZXXl;5{7(!?x9;TYO&n@s@&&2<=Y`a6X&SA>*Sgo_|4K#g));cRH z%2yaA*{r;Yl@BE4O{_d+K?$`@ttwz}10G_wO)V6ljI3Cfr8Q0seq0ScO_i>^yH(n5 z<+gcq+f46Rob)ic)Qe8P><=GwlcQ{YTN*~f7EIceel;nV(P3p1O%u~v=2HWEWt}=K zsa1!jY;5G0O{>yFxC#!Vt?I>?^14&uLK&Ruf8?X-BtHZ)n9rMOpP1d@3>A-t_Z88Z zx2*fHFNb}3(@|!@>t?}vbKn{r8x{TpP#Hj*8Y_cv!28{>FGmk+g#R(`J7Ws`QF)sw zZwu#zY~D(=4n99%GWe9Pk=6c*RPric#8o z6@0cD2HD8|kUzL-IQE6ArFz*?V=(`fMB|0iAxrR%SEIz>lh7y@TnJ*1M#SLLmM|Ot z`LZpQ7cB)}PC==sQEHYhg@3H29;9G4{T?pDY8O5Cc>~DnO}ZOu=8gREH%h zB@8(f3<)KWieeH{PP;nkQA(we3I)AOR7$AW*aRw8sh&w1LPF_e#kG{mAQcLFm#CCb zaVMx~y&3G@2Ky~0{Fx+@KZ@kDNM1qj5|tA27oq$aAnzx67s-D`DU;+C^e#~;A>SM1 zYe0Mf3FMIYUn!MG;tG0~sFVpke>8Mg%jh_cW zCV1KgHHaRA#jn>Grl#OcH<;Cxe#oa*!>Ht>Q{xQ5yGi;DOSM@(>aI@uf>K3Ppn~v+ zpH#Wg_s7H0XetUzx#M+g0=UaeGEeC~06rsbzz0mf{1xb&zYhJO^sVY{jFG=py4kdH zTe7Q@yijy@_5MrIg6jQi)Zy?4;V{~pyE)rhpKZOCZTYjUm&br_#mEbt@Q0?g)wDhU zSDn10w8f1sXZ0AoiLVJ3Zimt<)}?}3S9Oq=mZd_4XTaJTmY}eou;3ONgjX<0NLdU4 zT+;Wr`vtzV(huv;Bb(vl*jItEA5V7LAltHllvpg-Wgf;|x& z^(^kjnASF0XksU=559@3x}En3)UX?9hjGwPm9>A8Ivjq_Z9lnbuj*8(%b)-#buJvB z+Rv-Q;bph}BbvFQrn)!{H|mqu)47)nmLe=+!2xbe)y!hlYN< zQWgF_9<0^b)+;o^9hj!?T2v{or>Z+(58{VVXRNRiM8s z1*vmEC!~rX3Il(JU!&!>@7hDf4zu501KuBXg3tm|p}tR9owSZpWu$_>WU2n#n^bW( zs;D9rmn$K+2ehqNfF`Ivr|W@eu}X?v31Y3|AmgbdgAP1HnXNX4VLs&6N|_9hfrz%! ze|_&&*d!246hbkBkrGZdHkTQEUR4_*W%1cvM^y7r}dByp?DN2qlA%8M!rm4FQ|-rvHik zttTk^FC+V_;@bHl&+WYmwrp`Qw#}moRJAft?UC=%RTJ(=-myW5M0(kg$drTNdd0d-2t)YkoxO<$Up<40 z4pA9RtF+^!Z$Y*NYB&}O8r#0!y#=g+Ri6cqCdO{5dfD`rcYx**w&wjov)0;H`S+m2 zo7>@af3Mc=*Kdisj{Rqqe&fyk2I|;Bdl$3Y?d?!~gl56iu~dw&cLXl^s*@J_Fye!V zWI;%^T+)pSPzacEEB*063Ipv4c>J$%P$j($O>A0~KAd0GNy#uEAytPdFG24Tl@dN` z3OYU&V6G1Kgeg|BQcZ_Hfi{@VFX18PblwWD&Vq6_J%sj>sHsE;R4UQIbLYjI5-XIb zssxU)!Qg~yRCE*_V^;SD+vl&t){-$M&KKwp?1{$UvJ|}^(yj6~ue%NS1HfS{<31X6 zTN^G!l*4kPUSL|^hUXrLecMYd{ligO)>kRL-Az{cy021FlTL!VVLAW_cN3lky<^u| zV>eZlZ!*fpz^LXuk`&AUxh{7HRem+z!y7>=dr2zhfy^V=In4C7 zK{(UL!Y0v}WV7-m*bU&Tz47X++EwqEUe>FX-@;v&b8NW@ay$V!tg#!tV>g-wFTrGO zp|dg8%cGuG-KcJ=y;qe|na`t4nWmM>WTB;KHSz)PtqlAj^;(+kQG09+P z^>21qUz!!G6UJCgqn=fEs5(YVo`90A$0r&pM@9KYBV~-LhxM&lu|B~Z_GL-f@I=h} z0_3H}+82CLqdR~T8!Bv#-E3Bm4XB-?=zbamf0qJAF#5spifqCGFsiA$)E!;zisnOq zK~z%e!vR)n0@+%078svDrV8=*L8!xp>s+=c;TkFkT z42=a=(4z9)$!5w-s5z`#UJd^K7BmxEz=thRK`N)A$_%B_?epfYv*0_m>(oYQ6KoeZ zx>sP|!(DT@d&SMA748)Yb_-UL{2-JMW~fer7Q_`MDCdg@;Kf(qBJ6$+cl&P+U-uz%JOl&cT^pm*;dm zf~z}?gbo-)wUI5`F&0mqSV~|bK#+2A_hHTReg&hZ-7M%Z2W~YRyKlo`JFK}R2{wpZ zKaG6W36+?QcoDq{JZI{2F^p0C=n`FV;dHbwoko|^_)kbtoxJI{% zX+i17b|iNP{W15X7w@sRo4^^I0cFG8@^UCLxat@+w;tu|(A5@vk-vIqwlZtvTX@69 zG4!2!^q@Uq>i=61#7ADB&_xQ9QQ-_!h%W>gW(2jpC z@Ay}~A@ulR4h08<^;G&GBR>`b&jFs*4@&B2I~%XTCV)zbh@!k!t&%L9k=26_iW?H< zLcZW+s1D5H$tv^6FCajPJ&SX&+SN&8As-k~kEK^9HL7@~vVlxe(7QyXgh>aI^l2oG z4{*R`+^Gtq=Q!Rs7P|{DfP}vAUTBStU_mK1;k`7?T z>ZAc=IXHz{|B9s3Q5yc>Cy8MS z#8gU%O(C&kNGuaGRv&(dGWmfll2$PG-v2-)J@f!2z09SghXx?xg2!|wNh=t8=fCwH ztnkQGCA~67NsoM7Nw3T!X$50%{6DFrhxVkh^C3S}xQN6s1r=5)QQ^5Hb`XgbE3su| zB!(#vQz;>KCW%oyE%TsQ_0WC5)@*B86-grmX_XSv2_$_46 zwJb*pDS?@bXY7 zL72gq64HH1`d*Sw#T?a1y-7Naq!ol297B53-B{tI+ekVca^MUmF-$>)RZ0~4D2ZJ| zVwsq+`tWh;KKnoxNh=657*j%e3Q1>^v`a~I{78Rvn%)=%Wt%ai2a@zTB%MRjnW}8{ zH(#N-6oeTZL%Q`YRpCsM&L`>ZYLs_k^ZQAXKAohCK^lB?87iSa83-n;l&J7r5<5kS zslDzRRbu?T?$IPMiXy5sZ5a%H zv|yJ%RpEjKXA6En2|pwOkqU;reh7P`wj4X~!wvAU5{OZ9n;=kyV0hS?VQX$W%q-Y$ z7Bray;bS`6qSo2N)~Jp7>nO%KrWj%q>sPpPYx9n68}{-|Zag&2>!DKRy;ogN8NZta z8S^0{gu#Aqd7D|V10rE~$LBE5w)B+6s~5afvLA@RiryOA*80qPcPoDOr5%J}*#&x2 z7UGAFh3=fdaA9VHH%+XFugY_uk+oq+`}l}>^Z6NLI(NWBZyKiU^f zFNdbwX0HAy(M;H8CcFfj4-VxRL05z_vlGBKk8KZEDRe4~xSEvZxvkLNE%@;~^(_VH zpqym&nHP9%l=_4z?t6xJ68iiI9Sr$-e@u^fF^GiKqrIY0fBn+5)KHdzFn#oTv^O0_ zJPvhlUiYyDZKH>M0{>%Azd5F0U5o_{T!l>!AIuM&G%Tw=3IzMouLYMtd;?p=zAE=3 zFcG#k+_mrs#d0qOxQISNoD5x9i|y=nFNTHAu(hT8u6M6b4}D~#L_lY$i;1w2J+J$) zwJ>6Eg#wf3r5VudCme>&P6pIw4}{NCcTY@N<%eK8tvu1Fa<309QMr@8D^byt@_*Gy z%P6&Lr})hi5&irLb^7SYBY%Jk)k#m2qD*W6SZ7lzi<(2h*xvH&Clig)!wVPBOB#OF zVyF^)L>Q~|?R2Qp6=_(c>Mz_5DR?7$;OF@ER;t#ho3L8&2{>%9NSpXb!7^0PS1E|q zCf$|ri)OWZ-E+A7f?(jQIscEjcY(8dTK~sq*G#(a>Bb<8OB5o9C{s+D&5oI4Tq2he ziEz-#j6zeBnazC8ZfBfR3_=k?j*yI~ri=DO7?d-|b&%{aVI&%){_pqmtj}fd*;DiV ze!X7*pO@ydKWja=^{i(->si+i^%9L`{km&6ran4H1LYjH)sIHCc;VhQa6Mc&*)u;d z0dApzYe27P=*b@cE(vfY25yZD#}Q!t*CLiH-xve;kP8P&DfP<};07DGTU6`RKd+e8fex`-$U+{!f|4Wm^s`9n^cj((Ft$s;N-eKiDE7-UEQ?`r* z%BM|-P!Yv8-PgbVE|e!IElETi%D04m==_#M^g%?#mf0YSaOnm zicUgvtq5LM6+^{ot1XAEg{r2cl{BSc4vbT3FxC;*!`A-Yi>|%AVTIs?N2BvNQD>a7 z^JFq?1>Fxlk*E7eUe7i;R#Q&DMs6?djfO~Z{I8^3 zGqivI!p;hjQh(B+DL_)Nw8BFSm>f?)qiQFWhdppVvk?>=XhZr64~-)@p|D%*d@M~V zRbTyQnJ(3?g#&D=evx+IQuL*4s^vlzs6SztXrn$3)DkH~SO2VI(L{!o?D9=-H>_lL zm+9SB)M$C{n}G8E-a~Af#gbXa;4%6USzpaE?BAqMxZE&0N*H0o4X&{(two+;Ff9|r z{nI<-WC=s~ulJPx$)x`f=o`RF611ht3yEK>2$saUqQb^<_!|vPzym-wR9umd(eTz+ zgNg5GpqOe13lS?&8jJ*rM_6wpUn_qQioxD{Kg@c^U7U&He+^ym8k1Lg5Ld6Fi7r3kTVVrm{{jh+q9Ai2DQaHbum* zn+%EX4|aK{yCn2{%fLTwQr`1i5~nyMjxi*j^^oY~ir-nqf6I^~ zg?)|q!Ek!8vVZ?^6UL9b;g;aw)rCY^Z%|J6pmcG08LPR$@cMC^qpE)x#C9G;f8iMp zFHBmFYEOPwUw6yI{g9lVoycfU=aV}BEEg6s_HBcVsnm98N^?Ibjm%)Aa?a2 zwsSf1v=eNr*dSK9h+_=mCY%Db%h;@PZo0Yuq{5r*$~nWJJO&hPYMuSFPV_o}PH+G} z3d^B$u0{j>&EKK}FlO@|!U!tyNRiAqfX_j~Fc1gO#p?jJDgA+@{~+iaz)BLdrSdp{ z&Os}buMS{ToCCl{8gaPGx{#pb003SLxM-k^>9!NV!BdR3tWX&#g#`k!pu8n?lAmv9 zeAcV$44x5b17k|%FN|tRns)m`*B*#KVI2Obq+R^8#v&GR9B60gZ-rOsCU1>^MB z#nzuRO0WLPMStZ?xAiw0`YQ*e3Q~%2dsBo^!SKV9Viw`BZ5)Tiew1K-aam{}`VDmU zmB3?Ef(1cK2KfG^k|lwCVfV14Wr?@;-(zC%=MgagZJw}8I{}?9-WCc+O-w#9J#oIH zZ4Daq9CpcR|O?gA{P*PpjP zEU=P4@ekW&1ZY~La4`<0=uNmmFQO`4yULKJGM%R=_+bQGEsZBC@P0z=q>KMRz>}1gCpvR zA}WMTsV{~!E!KB86}4gvME#>ft3*vvQQIdIb+1}&yhcU+=37V9vOB0%842Pxf|fDkR`I~vJ&=r zqdyB;p=xSlk84dIK8)?JhxBsT`)AEWy~MTZ3@uuB7Hx8S$E794;HFi7jfGiS=HvCA zRy}A>2D!h|fAMnA-w*VSIqa!b*Qx4a$yB#*;m{wMxz^m9sjToCg-3+JZh!(B(IBzVMC6$xRCviJU$;71Ksdv4*A? zN!x%v1%_FxhAD~3mobMv1jD=w!Lc1qCXK(xPnkG=TtRSI|7)(i?r(*Y#wUxvUzN)B z)einU_GmA5&YD&kVu7M?6OP4oZ;kJ4v@s zj2*A?HK}}!@<_U_I}ZU%{jcaGRlaWiS%-MNL^sH%8xV$W9R>N$Ygs-s+WCi(ujp$> zfBw?9P()?WU97r?x9>mBl%Mo}Y-?L}_ev5pNZ!65huK4m)v7Dwth&2x%str3oQ@UX zDOe7}v@X-_Kyz*|!cASecCCRXp%7@cm$ft9?InTc72nu_CMU#ApvieJ2{d!@1A!*9 zoIsOl34!LBS|RBq9!aZ4zX#?S!Z9R?;iOC7`*0OnBN>#=6KF2Q;tB}8p@cG(YzOrG zAlp~TN@DV*@r#74u0*Y>>xl8}l#cc;a7?G2q&4!}MW~T0+F&}3=bZ)=AWlrLe;eVt z)=EeJtUJ86(h;`8V}|fhRmAKAOgjogvK@udUz@3niK3Kf?7Xi$wsMryqjVBm>Fl+Y zK}vrt>A&H#ZKYEs393|`ytdK_+L))dvM|n8Iw`Qf(CLiD<*Cucb;h^elGuSH5$jYH zYyC)wbzJMjDp#>8l8M#T?La(YQE?1c(1DyG6^#{zFU2u)F5;a@Iy2yQAW7sLqH-Qe zIqynomHLWR&a&ikIvq%IIUg4DjK(H@A#$<rRQ+zJwgf!JXuuN|JH z^lL8w{h^?504qt*mdZ0;=?FWVsEV8tr^t@B&yp75^;y|aLo_gt>9)^W0G~Avl!Br! z85|P|K1))(gX3qvw0+ho@I}UFO~g;}SzHHz&tjJ2vzV6Pv;K)V1MKpJU0P4{pLiQ3 z95pfd?v)F;M4ZfLwTXz&>ZXLoD%p|xHbKcsV)ETBkCCi*@XO-3gI~Vk#7Lf2%<1Q& zVix?tikD?88T^{O!~wt(jOkTS>H)+3q$Vah{S`ND^H7Oj@kl-i z1}dR3x44&UabHDV?BJbl^Ghu5<=A>SMqwj_-S)dLB^t^>RgyZEKrY1368YO^+Qg_V zmXStI*2~$*krv4xnC~B_WX>d+XF(8vA}Yh;J!SoJm&jJIi)pRf6&lIP>|Sum0mr~{SW9uE>nQ<4<3 zLCC*gbz2;?YKwjKhXhOBhY>`BCEwHqt>r1CZPnn$6A)>Smjv!5Rh@z*bvqfwcKi($ zE>j!UsMKHtdxou%i)l_;vXYn&9tZrrpKL8zemPRw_-C=Ji-v~aMeK^FAu*cjw&G?r zg{;5A6z2U(Qvf||U%=n&)Nq6d*c3nb&7v;hIr8#to53|OA%oYJcoTpJD`U1;8GpDS zb$I{@2x*ziQ94){H({qPj@Jmwl-zN#-0=9tVUe^X)+RWv*7{GZ(`bpStXgal7*^IZ zRkO1~mxED3=Mn+dPiEPbjJ5U8r|vs!@Mnn73bcr$D97?9Ukb9Adl=RkgX7k?J0nm5 z**m2cgLtqO3D(^UmK&MqYiKuYg`Js<#sH-;$fZFtmwU;;3~&G?)JVIH%62pogw05b z*^<`WW>y7DzJSd{8h`iLOcP$fU?r~$h<&rem%?Kv=CJDsEA@yG)`ds3rv&>ZNYI&f z)sR-%o~&VB&kF7v!>rLM0jnq#(quxt*goP64_1$e1YmTy9$=ds@rcHze!Ocp6GvD% zN2KRkF+MX!M01YF1W_zl>qe|dTETVphU|hs2>BXi8t|Fdg%>~wF+7^KwuKwP60%=w-GnVoLWk3P$sklB7V3USFVjz^7~9g6hC zC4Tt-{Pw|D`}dzT{>rQSPY#}deMFIo9CN;U<*noU-#oEkD9?^#=?p!X3`HN7-0;it zhEticq-Ofgr=ex65vNbv57KmV54ik|a=eE!Ftd`=y8JQBpBIJ0D~Y9pc&3_ZU)xNP zZ!1hj&))cIF$^^tSjhAot7uU$!u>#czBn9WCOVKxKJ0ZHb76s80(BuK=jzu$VX8fh z>bY9tXpf`%yVL}hj)2q$LTXzOBzp#@%gm_$7X*^5sIxxwM4(0!-9!`Jp$SWAUPYSG z?V^cpm81YobaOP(4dqBzO=P&5;Qb*q{$(;w_iCa_G_jcJ(8LmG0vZCZuC`qae9FUG`Qy(*<-fU2e98-&A09c6T~9c*hCL@c9hy=pOvwr?l0$my z?DH9Gwd4d%tWgkU1`;np-xfGoNy)|*cjRJuE=%6R#Ktd zGuhp{XVTl{GqblhQ!fC+DlBHq3^rkgC%|-YwlJC7L7MmNu(~ZcA&QQbvET@6jgfTU z1X78;_Rl}C$A2SWjZZ<(jb1yjctm8R(@SG-)w{6|KVUCCvVE>qk7}qJ$5nJTtPkZ^ zusyb|LQT+_v<7iiL$UP%23-xPe*#J__bKcO0N6`3b|}i)z=@QpH?Yysh;Tztk~4YU z9j!6+0|%=u6VXVd<$zLW<`Wj{85TU`S7)jmn)E2vYdZYZtED8S8~MQ1WBRyr|m!E zH@>4kxryRMhCarCck=hXlxF9=GRY?z>PuSq59hob@v@N?4b8(}HY{t-0)j>)A&4mo zzF}A;k2&&Y_oJrcSpHYUzoEGRC|SR`p;Vxho+qVJP#XLWKyazu zhrw~H;=<;1V58_6cmM@(1c=P3Vlvc>$v6}TC8^BC|RFdxq9)8un14#^1mMBN03jOJry~LmJaTBUyodku*Wvot$ZZi20L{cVjJlV z(L8ns4-XZSOi8uRGIyV_<;m41(bzj3FjRUQ2(iyF2Z>Iqe{Q7D3BsZOKZQfGgCY10PcYPM-)t=;@^)Fp=(5VJIa48if`0^qGVQ|f zn1CI#IHE6+LtyaN_@6swHzn-xH>dxR3stte+*T!hcGG2e%T9%63q}M&n_;x}qKCDD1k?2`M zbl?~vIy8!hP1JjIJdWt~AQ~9F75^6^m1y^WQJ*FIcljD!yNMM_ZI)XhElSL$r`o>!s-c-jZpk&3*RmSOhR|$H1oZ5MtxtNyOl-NgQlXXBbb2+9v5!Ce`<3r#isTjeS|6LOsmxq{d} ztQE7E8UwM$wiYN#kFs&Le>M1{MF#CY!a8zJK1hBJhxM$$+>)ZXjuP~4zoFMrrqdu3ihZNjej~}@wmPT> zN@&8HqXfx{Kbsn-gma2Hl<#%yMeyZ;xmFu$Bj{}zD^(Le4F}iiul8sc=@bLe8y?g} z3oV1w*;ZZ^>|!mnQ3ZiH*9~nj1HHPuC4_B{ib=$2W0lHv8RZ%PxlsNq)Ckf^2mAA( zClJrTo1+cM3dfz6jnl@^=`yi#@JMK5-R62NO8FTm%J?rCbh4wg*5z2=#2&)&HBg6C?`owelqH_!X!sx zzgjD?6=SEw*vh`y5BI8|lt)6&mGn-rid*P5d3{-Tq~WS@=f1HFUQD-g5P|+(2UFzC z!RN7ZY}CMr_y_3di3>SY?pjC z(va$M+%>$ZrDwOYda?W`@}%<;|nOdxgIH|6#sKNC@um;rvj~6FYHmQes*B!Otm0t_F`d*M%u)>z_W~H7`1~J=Dya&vN7}! zXxs*f(`DwcK;>yDedIH!hlJqOIh9l)Yhz?Scs1gWCRJ2~pjQc~5|)A-gELPEl7<}b z4{@K}y1>);(%~YXP?6h+q;OL+Bq2N1;>AQr>bKge!GS%j%m67RE3n8P!DdHCK*a02 z_!=3yAGvP*R0YflBVHdk7d3`qQZLv_xNv6tNJ%~BDvjAY-HxlDCSlS?^swxBQq*qz zr_8|xg(;Im8!=Km91Jm| zA$DSR!j_ZVc#wmI)E85c)Eo>Xu&D6*;-Y6$3U1^qF!Y$Idc1-NC$RwNmr#d6rxO~l zZ|sD^MN6Pj_~J})l%6Xecq-khv3|9-fdbxwzU7=i-ahHPR2_HF*9 zh0laX&XhICqRL^`d&AK2gy#?zM^p$AyA)GToPRGH`HU?Y>^yWIB{R1ynSFJCp_KbT zN>tV}tSq(?OkArQnj@Eq`%BULQk%y@v(TpWjghpQ^=v6>F49%#mcXh|iAzrzNn4_Cd+$DvxenN-goBh%KKb-fK3H^5M@MxXp|IYm zR87Y@O5gV0aYiR#JpTQSE~6pJ=$pY{^!k6LK$WN8LAL(5aK}t5sYRJV71qW z@TUX~6c&cnOWU^nv;K+&GmqNNQW`Tz<07R|0k4E|v_l=DS|-u}B570fZ3^Dh!X@2a z>eYGLINxyvG+zG&rgNIjv~0rH8?fxe9wspw@XkETc$6Pz!Que?vz1qoBNG96Gs^-C zSc05zramU5wq{C&OX=|wE?oO*;B^Sa5QRH_#CPLaW!@`; zVjK^tQMerI@Eq%gROkWMt6YGn=sg*h!9imMApJE|wxs?S099PbfRq%?^Z6gGLhrJmWSfuQLGYJupG#6)GDOHjpbNd;A4JeARkHV2%|xT8z1wD^4+_S z8F#g~7rD&TYy~PKi_u^~Ggdz~A5gKYA!2 zl9(0{r4v;$cED+fdS@d|uofJ=ikqY<0nSFlh&idit)|h~gD=aj6E2}unj-OnM18A* zYUFA`vyEivNhnfx6iI1i_XS2}eLY-YZn$t^&OeG4l>uXAI!uAm$qgLQh6!+&IJe zLYU{`8oiSUGNcYKG3Oa9E41O!VD*t z#EF?Z?pu_3#>u#q1K$Pf_tCJj)SltMMzAPoR}$FhYj~Kt(2s*PTb*uc6odupq~oAL z5TqhJF?H}RE=FU$Evhr$xshz{Khxd?*YsLz2JZq4F8Og`xF+pf|2ueBj#Y&O#HNB& zQnZNM6&N(bq?#p##DQau&~y<5Zw{16Cxyu~0~8N1u15A7nRUNcJ)01IY_bQ5@ah0e- zs>f-r)wm$quw6d@Y#+hS2Q*p+8dWfH+3N-mgt6s2v2uJFf%2Nqeu|9+YFbT za;8A*g;q2+=S3|L+!u-wx`)Mxr1_PT=~DXX+upmB;J9X@|ABp!^H^&)5CI1H3;2N4XEXY-MCJ#pDp&7`>*(qN%5MNs>X z5LEAg*XG*?d}9^gv8u@Ylf=zZhfr&g@wFbe9sgwzcyFr-S4N|-2cE6-HZY9#XXq`@ zg(pD%uV8wRzcYjY)XyUXD%biY*TPC27uACNUR*Tx(=dz;2S93?H|~Y~7!M$k)qY zk8K)zY@$o)#1E*ESm9x4bt0U;GV&aA@)8mu;c~qZcP&i zNlc9}g@h~;8l!}&Z9*kCF7h=jr+0wYL|jb3u>>5bfS_iAIg>e@nHio;N+i>*2H-FP z?08e;6>I_|5ZQG!U_MBMv139P3n_>}3QvUfx`~)*NEvc`;lb+yPaW@HG4d(2mix|> z=4HvpSAQLf7L|(J<3vlZ^HZAsSCcc>>lo{IsEJ1)WFiLV+{t3^Cx6{k=>-PfTj+;!XxArm!Vb@qvh)hBl%)b1+ zN9euN^FYWq0TcU(XrR_`tU-;|y2B;!m7P~TgPp?q8DOVIlS!A0j zfmU+dBMpy^66_|OiF`$s*i&AyL+v8zbz*lfJm>8@iTmWUzwnS zyXnb|vQegG_l@LZV`|zmyjb~t&6kbw1tokNhH+C2(~osrj!df|wMg2%e6XaPDvG4t zsjmRdAAsaKBps3s2yC#m?TxJt>*N503&x&#WV0VD;`bFEVk$P!Q-piuw~s4zrTA&3 zH&DvkBo%`o9!KLSkVU@`iS+w$WaeYgr#?wf+$)P2RvUnvU_hih8=}hjnVs?VYkbbC zs=Wpq8!rH9I|nM=NUuq!LokeGvGqO=JUZ7H&4BX7%rcw)&%tjgs_7|SGX&sWsvncS z;c2Gmm4J>$B56Gg4VHT!p@AQ}P6J`8C zE8W#a`vG|qQ&S>6X(Q|L8}vWG&lQrqsxH;3yLm{7ue)grr0(u!hSXh=0rA$|V1^j~ zUgg%EJ7Zq5brhNeg1A66f+48BLk8?$&N*U0V^3~#aKjHOdS-)9*Rze>%U;EAA=y*G zoYuB!E#FVV3`6<(xVQOy1D_F=*^O_extg=!Q8=*3D|c8RAhB6o8b>tOA-Z<}$;iKZzTKTgfTe%Hh-weZ7Er1oiKvxl(4P%W$+p0Ps9gGijj zW=Jk`*tw_~j;=-}jQxNV`1+Cy*f+x0u1%hO^g8TU9w1P7G4g9Ct#M-+2 zcVX$M-1Y$OE!W<7?f;okC5PfWi;H%q`|q#9hl`KGbm2}p)rINQ559z{^qKYx%oY<= zbC6%KPbF;(GfW%{sLq4}(Mn=C4l{Yr5Z(3w0Vz5aCZNl17F)(1NptO%9h|XsF|AfE zjxR)z6Tr?Ew(ovD?RK}fCL--_1gEt1mLd-(IFOfRa>rgy(g`1%+pTf*C%E)mA5qkpPPzZj({Go>j8{jwzV?b;Z?0@(Bi#g!)3%`Q#4F@Zs=3pz?ms9&vh z&5{o3?!TK`Vffk6NKdXSvv*s0IChVuwt?r2^m+-uv9(}#9mv!M!#Lv(`;vj~;ME1U zYSSu6mUpSrz$ZDFL& zc4c@6lr;!2p|wCQHUo`|$qyOt;$7BSYIXQ4ud>!s>#VgP4HeKY*?`xJB{VbmHzz!n zr|G6s@EROa!!`H8RbQr}@|=^@A0gHO2)=^0#@A3QJJP5>Qv%y(r6l!PcCK%axowl? z?$zIo_DciHz+B*7LV`W`y9^R>ykEz-x1C*;fy_DnDgN#mQgpb@b?N^}{_bq0Z~V~^ zm;RsR@4oFK@*Db}#J#=4w~{KDGJKplNr|%lAN<|aIQloa^#3G(*W01r+}}Mzuf{eg zO|OPK!B!U@&6EUxR@loV3Jo~9bX*E;d!1{UN0-^A;Nl@|CZB@Hq8*OX_Gsw8;uJE# zx#U9-z<>9$suiT!D(NaSNab*KYlj*Rae4nczXnQ<4}y0ROMnjGGN^OT>77lRW$KO-+ zom=?hUMHO{2_sSwtq6v-KG+X|i+ooWpMTY((bsLOq98X^^W%pro?1 z!<HkUow4+16xj#LCYZPcpbcUV%cXKoHkWT)RVl>+!9sQ+TNW=5)@A*u-wM$71 zHtxUsbG$a(AuUmZIxLpJ4-aPwoTb<0@@9WY^m@s{{Y_#fo^-33aI5}O#>(Pa>$#uE zfV4Lt?df^1lk5iZ->q`^gB0Q9B*gaEL+A+ee-f8=o_q`Zbf;Sa_}j7IGX9->CH_Kd zj8{c-lk*!+f+Tdy;)>1h43w6|WqKxmw-B%jj%?y`9J@PjAoHx423>J1t28onOa^+e zW1hzpl+5;dR}NIMbOsPCn=G|Hvwg?eA0U zV8EVC&|dN4VRENRi=+7i)1>+T-=f#n(yzxR(R3C-T{K2@lnJ%|KDY`v1j{dPO{XfYYf7He=L2H<}hp z+A7y(B8`iTY7oPoX>j9QtsNX^BGY!Yj_cF$CDv8wT;oEQX0A`XNb?ans5;Kb{1!I_ z&zDjltG;&3YH~9j_YuwR%iqbPS3C88zYf)FR@`b9{9_F5smZX%k`M$hDQZe7;79?p z&e2%kXHYc;gcGRhIk8XZNLf*Ld~GIaab{w3!a`ed(dIPV)N>|yzyojX4iEhF(>@#@ z+nnmZ`%|Q%C%~Q(XL9BvK-iNr@8Gvi&Xg3zxPgZL7gU}km2sc~DP!oS%L0w{uQ1Di zovmQg2{t4itlR^Zt6-xD*2{o#PiG@OLT)JiRY3+4WZObzs32$CAnXSqQ+I;AYe2X> zLOOkHpz{^zyKVq_#sF>er>AW5cMCL@qZo|{*y9!+ub;`P^7d2Kwz84irT6T%;u59z zFzHN4i@S1PrL+uZ|?pQVl`2pmnoTxNT!E~ zHL}USw0r6M{yD45YUI8T8+5dS9z)O=PPAg~j-Y^&@uwkpg+iqfYPEq1cgKo^41O?$ zw>x-fO|V0C!xWqDm5T8}Ixx!jgmI>gF-|e&6Jv~Ez*NI24OF(2O4kC17878o0id$4 z3Hqm1IXb1q!o&+3Eo_S2T3oc1W_pv-4iL2sP>~G1UXSnrX1dj5rk4T2Hq&$Q+cr}X zV4_ml?FW_DpMSiZP%wAt+(F1e9ttLX9Mdxm1uQ2)1l%9Kifj!5bH&Z61l;k2TL_bW7}GyzItt+s zDE1JTqGkBCD*%^z2$=LQnEr?%Ae(#Wanc*IZ2@jq{8tHgs|Vkt&u97=gU{+^8PJ}v zi^r+s0pj7T!a6fgX?r+vCJe37a4#X9eU%QJNp_o(I{%O^umzJpnEAgfKtUtD`W)Ih zrK}AE6axQH0vQC@L;&$dBru>VmMRyNg%#xIE&zE^X?&GwXh=0h6ksC(?o}FSR-}=Q z!y0qAmi__}(rw>s{fM)8zm;L->&>!}SSdndPn!h@wELZ9Tox?R7g!Wt3iSok#Ln8a z9rc=OmaV{%p%+kqbXS05OX@NxfN3xG4Ce(10&5{M!`VWth31vzT7lv++z5b16t@Wy z*KA?)W6+YV-RMCU1B*S$LO#)$D$u!<{zh}-@@uPx@wm9M*^+~ybSfyBpT{dvvu+xw zG|3r;7bKEZp4J>TN?7m6^sYzr#fu@m{8xwhi}3AK&?u^OW8g-76D+p;GjsvCpS7nhFk^$j6=+UnDFx@~g|hd}M?1o)Gu%KFv^cR< z9JGexpxf|tmMOLr=+^Lz*uUl3TW=je2B9)BSGTZ!7T#<#tDnIaA>S8!m|yECdzh>F zNV*66qrupNjr%HlnALA=Bfwq=^Co*4#6d=e_5q35m-FZo(w^4*@TlIg3`m795Ob5p zznH4)<;6u6bSHAAIx_Uz4nTbhs7S`_0*)i`7v>jWpZtXxhRZY!a}2NOue4ixvbxPo z1J4iCBL=6xfr?@GRcK2&TX*k9yxVO>HOm|sdMEjuP7whW)kU8;gdR46xmMylB6Kwf zp3%6=;)@2u;!S072r)i+R9SdJS{LJa8{;j-_^v%Lp0P2^dA0iYndvRdeKy)Vinf$! zx7cW=F!kmTgUfA(jV1&mL#GpMNCKKnl(;xI+c+DQ)j7mzpMVo5+)x{1lVa>oj1M1i zv>=Aci^X95bAef|*8i7ZxR@E3x3U~)vF8adWe^bX{Y!_#XE&7%KNhF?>)M(P-~o z@6VlIE1h4{^;iFrx?N?%`vVTY{=l#3@Bt78c07ELd1jku&^+_`j1C`VUdPHaa=`>@ zOb)YPzk+N;Su+FMNUYI|(Ha+~6mYI1OADQp$ znVhlAIS4uE*w+eXcdSVAT1u-kXWSvv6T0f0$Gc~pErB(~+z}IZoFaZci5u@s?}~+Q z3h{FqSiLw`Yy`0?KGkle+aOr!C&>E*xhD=Jzr7pDWIB-yH!A8Tx+z^nQA2!%L7P}_ zB^DyFGYl~&oG3UHU=q0;q+nwRcA$dAs9|S>C;L?$mRRJq@-{-j%fV3*U&Buvi}Tf3!xQ~}B<%0UnB7`v9{-7bat91FOA%xDbd_^Iln*CpEIzDc=YH-z z9^I9Z@eGx5W?Kj_0y0t)x}-B~%&;r$74V{+I7QRQZBsSADqqd2x^_6}VO2S!_-s^p zH6pvJgh9MH&Jv4#HXkf8vbEV>t!#Ud?fVp;s?44Ut=!0;oI&{eE;v3Tvh#7H+7@Jv(GiJ07h%-vRDSAB3wc;qMXD{xp< zE2)B4N(l}QG!}LgM_zvnXomxzWCb?!$mS=$hJV;fxtuqx8<|-O$o!rUJZ2dl&>tZc_wXP%=e`4wa!4V0q+yz2Yi4GOJby1_r z{sQrdq((xM*zjNlVxTF8_ngXjAZ4tbtunfP!{q+)2XdD=x%pElq-jC8c%)jE@T+AB zA1nF%!V0DkxP_X6!@B>iOqP<&ElP%E1xS8-gqYsm4mEHW2ZQu{Pcs z#rt6=@D>pdrHrDdg|2ZYl;Qt|0=-F~yA2Tds~e12`J-&WbqYA2fMZ-hxIqX*H;4}# z?}me-D#O`h)mlC-Y%W%{5*kH9y$m5@sOvYmr!sfPKUHYSh5p0mk1F}rCx`R^b-*N{e05!Hc=g>AbSf@K9xx*5s8RLL8EgKW>;OHCG{ zW|nIF^h+Tl$wiPGkz_9@J9#8|`q2{Wh$g;PdhM|1SgOP(C;e&gPKWE$2bFEG+d-#zeL0!siJol0(7`+MvL+=o>gWliz zxS}?ws6YP-NnR|sjmQZdyR9$)8wqf40sx&F4(YI&^J+b_7C>u4O~lVzZ&N-Ik~te> zIBz}q7K{|VbJp)dANHK}8vK?yYrr&NqHi_^kO5pch8e#TY8o!W*cH=|fyP0raS>U= zSv+qTXvBU5yqxNN=?_!DfCd4fW*&rzSkA(x=QUpAKW>%(A-zmNeg{@-i?DC)pqtI~Zcq-~V_UoZ8O?-!&G%q4_4}sPVSNJ+Q;Bkum11J{d&dT$ zRF&vqYH46-0hRRQ-IdSxJHY4JS(H7mG8i6#Aai{ot*Hp~p@Pr&NKnBdFo<1yA7r-B zzZrV_D!oTY?=qps&u2+s7N|kDkNZcWfel^>_EU_%5u=~MfHyN?uF3r?b2~Y?40AU$ zi|3p$x3(}X9N5rsGX7)*HqxPN@HN~6rF{-{LTMQ-Dy{Y~R>9gqX3Lp9dLAGKVXsG; zeioptqL+SwXcH0Qz}Og!iQs0#cN-XgEN70Ws0k_(b3tn*-W|jnWbkYiHNs%6!1ich zt5K0)9Hkf|h|w;AQ@0f+_Za5JX4-O4MO#}|QBxrtqRP`(sW&QdZRY;N z$xTYVVc&3IYgS-8PgigC)t`oLSE6UvXR96!-+{{a7E&HyC?l4_Tu~-MBr{OSrTZ`l zm;uoe`G!=O*KR)SS*e`zmZK!5n_AmThY5jzlZok6r~s@n>a+przE3!U8j zDJTrb6V0O`dmhIizDUHITZxFhS29OpW?@cK$!;czW0eHFTgniho}kG>(VN&zaT6s= za2DZG4IK6za8l~o4m$H8ZVrmur;%mtjlM+ch`T{0do;r1exMY*ba1M+CdXROqNLmn@#2XjRAewWE zvxedBuOoD>VR8bM&wl{uTvE}RHACDHk=!FPg5lwaTM&vyW4WJ;!tB~S9i!y>Lol1+ z;r$;i*(?$~M+p*~_0qPGhGQd7W%Q0KjZn3yiUEMZ+Z9?dgZPH87|COfyd}gU%McW` zQrBOpL_5ESM6ZTgVMFkPIF5h?t$U838ASuds8~KZOxP1w^b=N~7*=0QV`)&nrQ|yd zeBm>TL7Lq`8DOx`$PHL!Hn(Z}${Pu2ssJ`@5>S8X1AAtz1NLGaunYSg%07+kSC<<0 z_UaqiL&V<{<_omQ*dq!gy4o?*6qV2&j$=!h&Z43ss%Q8~7khFd$) zvCJaLbA+VUIBp2T2qL|eb=FR)1W6?gRQ8T$U)i4Deh;vsC1Zh@*;r1GjT>y{VX6h@ zCjL819N0AajPqf`FwJZ=%?tT&i-r{N;2T}ozY3I=36_3IDqVnJQR!Af%`5l0B3jlsbk zF-o!0;fQdmf{!Nn0Rld{!tr+RRvT|;piUvw_8D=4nylW;`Y*DYdpj$E%8%ay^xsNl z0!;u)HB>%i*1wn)?+C;3j_~(JEC%TZE8o>>o`(mkyIP*6Hiv21W{~J)a1OOv# zc^=#HXUvWU=834Bq0b6b6!0pjYM9HshUaY2a+T!jdXSv1BpuP}7jmkl{xNp{Za{Of zVw4jjPcZC&hS8VWOX)b)z8gWj_miW`5zL9C)o)|ihxH3VE*$7#Fj^TLPX=43JJkg9 zXLPujh}b;#I7)`s`GyXzQALp!QcL3$|A!dx7YRP{!r6liuYx0Oz^fH-9RW+cfFRcJ zf(>_T6sgf1p@PY-l7dk|}>;V*y{Z^hNlKJ}<% z3~PtMd*)?U`|aC9RC8bS6SmL zq}m}(*KIPHY|jbNNQIB=;KYH<91HhU#xWdcGu)7zA%+z;!#tV(wgS^qf|5?wbs`AT#7aV?{^0uNoPXa`dwS! z%{9`!3G}WC^xrQb(0#Z4S+*YZoMW|XbP#G3$|E-J z2H~tY`P!;{OHhl+<(rBPCMw@Kdy@~oP#yXYS`+7fftu(HERogS;L>e{0XU8T4U^*m z!r7T<%`7YaVVyKwh$n-ZXzUD_0n2J%Qk}Shf$E6Gp2etG7TLKdl-CH{rV;#b!a7-{ ziB0=}hv>Y1%RUE@B8L>f6JAU<(M-k$FVmLoxiGye!#KCL9C1X3PN+jE`e-Sd(6?ox zUq!EGa?fV&KAv1Gg<$)%?I2T?#u0@2;#ScF93&wUIh)fO&7^Ew=Sb!rCU-bsspeFb4M$Jp zZsUmWiAv$K-9>g&4>w}LAav^_!JFraa*ko!$+;IRt)@y7tJFu<+?Ozv{#-tNgo^BWY{D6PS5 zeOD@}asubMz^3(?+)2zm-kWQ;J_B$b0lFptcw65@ZGGFZ4Xt&p@9s)t;Mb~vKYRoZ zi-3-m<&Ar6dL3fkrswQH8nlOo2!ga}79A=C#1F#`^i!0oYeH=k?SeQ$}sk%4iThsK@Xy~#n zV*+|kn7AEDr;C3mbXwqpTJlT(i<0j*F|h@j+#$^U^=3C0`$;UPGki!%>_?!D21o!B zTYf8~-CFBP>$b?kX1(Gw%JcDB@Eof=y}jZ$o+kFJf=(gmKm!`r9+!E57b);L1a5DD z-S%j5`!o03o74+17x!E>YmUz;B_Cl|xUi-vQ%GwXWVFu9m);2%KXu=Irlk}9y+U5zZmTT=|BUcZV>sZQF zf8E~N<_L~)WRRN}pTfpl+cy7-_}gwHw$;VA&50|MwM|rUO6;sRlD16*x)@=`?Oq&) z9yX!B5-2lh9Ha$5@qHA$aYABKG`YQ)`@T0f{*2FHCG!1y0DIN}gBaMpk6OeJ)&la%0tVl-A}NK+kufO#?K!>zG^O&9xh#0eFG{S0w;= z8{prya|{xsRSodt=ZvABrveTqhZN<2f!?0RiI;!sZGv``=ftY8_v+@|@dU96Qw9^L^_+K>N1Ei{;kFhpILI-D6v1-JvmJS43Q_dT6VKTk^CK?gbUDH--D}RVrMy zN4VzAaUevqn`2zON}^sv4m83J9nw?O@$vw_-$8;8Q>!Q>!b;1pb-1H{$UpC0HlT^R-q+c>B{T4GzQG839O~n0Ptq`bD z0xfZ4lCC2T z`_(1HI`4d~TWTE8C z4V1Y*+kf(fh)5Ho#6|oLR7d$%ICn9YhxuiwCFaXeW4N0^dMNjrYrFre)2AQ~xemu_7Kru1ae1lRNOG*a`C7u-G zVT*E7qeS+33wnL&$>med)k$XQe^z2WNUUZI#R}BoBpK!*H}a=`I#ZmKv!wCtGE|ZU zo{_Yx-(%y1C$n&m_r?zX(tBAPBj;~Qx-tsVg-V)o!hz*av|)Z%nAwCm4=@_{Q8uf89|16VVGcbwm z_V5E7W8!_~?g;}ihklR~%R=X^L&>|27Bi8s*Q5_-`Y)GD&DKHvwJ6eVILeVR+yn%T zcxrfAM-kGjT&>0Qr>t|Epyn9mQ~w6&J*o5%)Q}#klQEc{_n88-$a`1J0_sd>PW<{8 z^7~6ZEe9Y{-h0A*bfmStxPv)Cu5KuxnB;<=LK@H2S%D(1B3XM3# zZXc{g`7L!(g6Uj&jcXs7w87ZV_p`gkX)2!c<7b(04JsCDrUX!{r@yUMEb-My738%w z5M;`wCTrEY_h*4aj^ll)%zN7~c!r7w| z+na$Nuh2sY{o5rr^hD20Sq6(^6>hd2(T$4Hi5S&_krxY(7&SO=^CY_F!{JxpnuqX_ zu&em$ZJ=+ef1*-(V>PJUseDoW_K{4J`xJAp_T+{~ZkE7B6lZ|$B+%(efYiA=GbMf5 z5|Yei-KLUckxbJlYM`(-eCx!)g&Upa|S+4T7VDSuhCPH(tVhimntSNLLAZ-7Y&)b8O%EkdAOap zbOu@*Zz(Ru6N3QW?0#m$tv0pkO6^=?bQWr(LCqYkTlI)(8V0H#p|)I%GDe1HG+@ha zm;2G&aeA^287-ckoZjfudyM6-Ug<^}qinyP`#Q`Cx(TR}h44-D;F~I}yxF*6tSkp# zUJ3`&%L1ivR=PbRZadi(lt*^Mz+sLAW`29@IAUvvX7|)bhCX`p0ada&SFm>)y_2nV zWQ}!3dN?p!t}1yz^>KO?O1kqRQpTw|Y@-g$_SNu%NpuAH&L-{N4uOntpj-&dRRX(K zg1~DI0cLv$@S1=c`s+s(x`xmZ8#+6Ku__s7_qGCSvC9}?c{s2Z+n+G*&kAht-{VKj zjNew^bu_Rx>pjk6o>H7fidmq@Z-(C1ZG}m`mdQtu5xzfGEokA9uiHMw3e*-CmGZ`_ z`ATIF!G9jfb?MSh1?};-bHRXy%OSm(_~Q!Iolx%r3NqKO%bw7QPwJK3Tf;>*^pgs` z8U1-|z6;IR!iDB;%Smt~h%Za{NV?ys|M!e9Uxy|bO}_4{-+{=0vD*aC4j{xE?E9M} z-r&l3-e#CnEzGG74H~T~(3HcQ0m4PK;XF7IL}Ddd+h5-)V67U#JMN;ft7Nb3TJJpF z|At~`mtuMX#l+n|vP%+@Rg?V}>`NfA>W_-K4IT-Kd5n*win+ZWm0&98T~&ACa;W<+ z7i#4=gF%xyikZFKO!|>F_5rsvj)XuiZV%5MB|hFOalrtsSTp?mSjE}$IygRlfg_H+ zsRW*hC43i-1SPCO^GjaBikMr%&y?i9NHX6gsVgNW^Hye_=wxO%zU}J=jBhi5c?9eT zK-__qfj#qcs{SV>AILdpyRH&S9#b*5z6J(QpWjl+H?hc({_D_|l9&9EZ`_hMD9Hy% z@*Yr7_UzUOROXsyz z>d#r+NdFr6gyf}OxWz4XlahR#B*(fWwbUl_E@lpQGTl-$`ht;lTVVi46Yu~4hT{s& z(ZwFid=3@`TPkxK3}-N$XhYH~^IIx&0}C7J{|VY&@-i>^+AVXMl6?MEko=oVQp;>I z|H;gAolLjPKD*2Y@Hzq>3BdnhnJ-@5a+&=qU{{iU>)e*gyn}^}^pByDB`!cAUVY)sbw~qk1+ExC(|u+m-|dT8^A&W{zU-eDthnMeI;kLTWHh0Rm2Pu-}Kj( zO6}89Z$kr1Ug|f$a!Y-Pl6>P8ki63+siiiV3z<3I$#hG--yBnF12~<4LjZ`G)3{>G z=$X64WJebIK(nt3znl%*`a7N8-(AB?m%cQT_Gy(9Z18aOJMzl0XgbbJMAFu|+1*xT zX_2-qg)qbchoN2L`EIX-45(^Xk7N5az*C{&}5?G`jAY#taIZbbbp<@^*odMJ5+bZ&pf{`p zxveWm&R&r8`adYOs5aO70GA8ZNL1l3<*n`P))^@H{H1b9r-?VpRE9Llu=*UkN#JB> z_yT^cq_9gc+?KVJi)Pipdxa!~3@g^VOMo@AIo7n3Vmw)ILzgS`d_s@$Ld(U0LjJod zfJf4PF)^`WehgxZr{SXDgO>1-Gh>HCEOAz_lv!xB(Zt__{IM?F`%2_II{YjidkEBg;C`fKUlPhX$+s{wVkfzr`Jm3oS&l;1S+%OXD>QVeJFq5*&Ddl)t_!I{TjsL=U@?gD6h)h6BC zHH)q>rGAIjx1s%vLLWxx&qpZjFz<(`#6cH~ML5>*mu3So8d!qA%3Ox#&noISF9LOe zL3KyNCU-q^?{acEBfX@>`FtA?^^kW|(1rK9ZW@xnJ-OM~C|o z<^J3YpnttfAI6AD|5P>(ju)_d7ne}=wlASN>R8-)RkAkjLE6H0W7;Oa2lH!~9}W=}pM{f=u*u%O7}+lfdaqG} zE_bb_;u=9H*escBR94l54GF10l~`5*h>DEiR9pp9l;xLQ)9oiyq>(IDg`8K?1RJ5w=kR+4$QLx3rdO>z?88}22-Bt zm~uGVRQg9MZwBSvbf#?*G}xImSe!{{l#_6c_62WYGYm3_pFa=8xvfLACwC0$0-|0C z)FEvPt{&3Xe|IU$Clfnu3i>zPUV@1RNP!ck7{-69V*Z^d#{mTy9G9=lX|vncFxdh7 zT*1yJ*go-KDGm9#kzNnL;pRpTx{VL(yDrKBh zG0EMT{4SE=lW$cte%;nAsfr6Rkg?|g`Gi8|HiLAm%Rnz9^kjuTxH&YfZT6n6%@F!K z2^|MQKv2Wjss$Rwj9$v&^`%l^kL2M!a*(-#Z2z2fAWEb1FNIZ|&BMgSEEC_xOWNuR*< zJ`O56Ld$F$|Ih9kH)>VxJQ!j}orX*gC4VT%d^QYgEg4XL>{I+=aLy^T@cH?ifyM7S zJQ*4>0F}kIo{GHr86eLGii*#nLw>&D?cL`v3M!}u3^pBbwdlwD>CvkoG4AP!1~lG6 za|Wl%Bp;GCAF9rq2Om|und7*HF0Cg>%b|RK+?!?iINeFE)tGDjmW0KcV98fiI73wK zu&8i}o)Jg%B!}qUzII{0%urbD5G`|wes`bfzXXrRm;G48jYFUhnagRa@PgwI!PZN8!50@)C`|LHk`Myq~3?mu!ei$ zyet~9tew+(u=b8wUszOFBEOAUfluguHu~zPqCuCV_;J^HetMX56R|y}L;+=8?Ie}6 z2PLUFO$vzNwAmqJGJkmrnJ*!e-;<=#;yxhHw}c*r1GevF{1<*BUfEq&NoCZRi zp|yB=&6l69DUn>5X1|`)yS~A^RN+UJ$x!Q0(}N!O1=?j&?bghy&kbBvfHo#_FHn_c@en;oH5>8W`ONg_ti{swx?+?jX+ZD1{F^(d} z=2IP=K**9NpFi{h+n)+b*Yb|uLVfPKz~VMea-cF8L4SqpZok5ByR<_}`>n@8yUeBS zlpvKQ7>E*p95&{NI^f70a;ux-$dxI%sU$Zz2{}>7mQHaB2`f&JIB7|6d=SAS@jVK@ zKfym7>}bPnx(1->F#x=r01!@D!SiiY#28sWH3f>s?#G>ds9mZpHAy)?+7VaIf2t6( z$>ThiN4(m0VP6i&;qwyB)E15>H%G|@NUlv1a-z29K@QPJ1?1;*o;=-}d{k-pNMpqy zk6!fJUkl65<|3$h3i+S;fSfImfeH+q%^i91Kra&LW(TOZ6*`u~Y`IQPZ*mJuiWNFZ z(g)ygmi+eL^AjZ0i`}eH4ZIbGC?7oI2^StyInE`E&R|ipoKPIxn4E#k*>(!b5=nbN zF~f6&lCx!W9ttH_B0a9e+7M+WQ81P^?h)0B$&a)H(LLa@=WITbem3O%8lV|+Pb#^W z=7HQqha7GsVY8-1hNVba)Pl|>^5(1GAH}!TyiiF@B#Hh?Le)H|InX%-YVQC+%|k?; z1l3!~!jfWzI6V}Wd&;upxBs32=vFkv3T;$1|Ltjynx9cQVvmBw6DL#6grb^F&O6Kr zBL`~!i(-c72&Lv~UZlhxBFZSDK+WuGLqU;?f2~k1{+2A~-;{zoqM=%_GclG_)pJVY zV$$eoXt>SS^ty9M$GKJ9X~*}$1BHc0mM}&@r5qdX35z~dPpl)B$yfgg z{@Qi4SVh_J2$)&QOzS8g!Y2SdMWCx4Ak@)?01C?;a+X|s7M2t%bh)ID!rv_U?Z1Zu zMZFHu3JL#6TJ=+&I(kv%$RP_KSd=U$6zj<39L=0>PLevBrI_J4LaBKjy`;o;JPed2 zM3JUoY?rPiEHbEU)C=kO>L;+swyTQ9?!tk6w47^gExe*s=acFfrCJfjx&tQ3uvY|= z?3wU&?HmGb7x5_0A=huihEe3VC%Cu&xRaTikZH47sccRqn;j>L@XgtzC1Ep&l)}np zpF}nXGV>;3QE7+MpyNByn~U66`%a z;BPDV7=j<@1xMVTc5CX8jtJun%&COg@fQR*+!A9F`!MlKCUz|7!;itQf>@k~i0Jcf zh5|#{74#djPr-Thv^l68dt91zKb>*?Q2nFGck-gKC!r#MW2vMW_@|ASKfcAuh{kSL z*se~JTiY)X_ADnO8vColVi?qnZiui4f+_C`WjyP(j0wmrukVXkuDpH;4!)wxnC3c6|tHdFuq)ic&#j1WJfQ^+vs~73`Y_0k%NE0_D=*HK`|%YxfM$Y64AnfY8Wy z0w^3Js1-_)hRqZ!lq$cOk|n?W_fY#`fw4k$Bppfn>Typa-=cC{K^DEiqGUOt*vL&z zfH`f*9N+g-%^Lj}si17}BS={Ki!unR_Ya39#R_o~oL)YhCBOam%n&7|3*=^1(u&7C zN~%{mP9=*S$5Tm!qLNHbCUZVT4$57snBh4>NtNVu3`H=!aELj|n;iq+d<|ikTz3}G zYi~{8xysn8LcCl7R+Gp|lz|8-19ICMGeG48%5#8VF}=}%|QzXt{c>HY&oChW?zrPPu zo&^<$i|GqxaPvCIdT`n*&NAXmZV9I>9;cn++(n$>E{;1l;xAni@3}fE#)ZV_=3+QC z&^Er~=%nC%2>#`-@In;BtE~f+&P>u7;nG38Mh}c76TOM^Km{95u)_o_uuwekSTu$NplkyD zaSRJdAhf5erQHzJl1oCvl46DaCg}`YvgEhzftg~3wrYF2@)1va>aB8oIvXq=1dEd8 zgkpO#Icu0xOy-faqZBhdM<{vQlL5Yuz!xa+Zx0KbIABMctj(|pq=M1|lc?i?7jnt- zU==Q#RR1{IE-P-+Ec%974Z z|2-cc8Q+qJ}J&-Iwa;mT~?KS8Plq)L_(iX}EViZt`r8}t__rB-h zs;Rm6qKKFDWwq$QCwl;9bW7!D<0n9!mvg(tf1EwoSxFcaI}$DtI~cGsh&}oxMQd^T_9FDCQE`l-%t+dV2Rs&jr4rnH z4+zdDL3|fM^TTuKUgH*w4e%=jE>PeDlK_Xa2Mi9p&hKdT=}QZZ^#A{udlxXP#x{O< zrrMfL_LR~%#5hDby*WggVp5wO6G=lPXI_U&n8-^tnHkNt>>7v2DItWAQ|~xb(?NUk zMkA-FD8kxJ2+>gL`~B|wUTd#C&CvgUeb@DUUCdtVxsT8N+|Tpe>p2!S>Zn`hVY*ec z%Zn@ymp+Gy(h_;iOrA~gDKm~xO;4ooEuB+kpDIq@tT!lXn5fGXRjnjzoAd+--@th? zLLmb|_n?QtK+vIRLmM6l>JM!LDix`mXD30<6_k@ISp(foQ2(F`jg{0M@a_Xo$&tw&Oz`_yUE~p$w+kA{6uWS_ZH!j`!Vi`kIc5V z!s>U#V-?AL01RaGKPqot9QiDG4WEYUX|7|etz0hWdnCeE^*aAX6|Y;1+rWhm%pWvN+ZcyecGk7o^_ z&=Q<9CD2mbp@QFX!1NX{Et$(Oi+!O%rV}!Z;^LuZO6g1?j@O3lY~UE?92^PJ@*5?CGwhCTO7!9Ohune0oxAn^DOSiRaiK$o};tjQl8hIkg%wp``x7+{=%>OR*FN^ zcRxc|O@xQi5u7Oup8n4LIM?Bb*gT9amwt&FRiauX$1wl(wul9ChKK;>>U|}}9+)PU zVe(3JQL-Qvp$ySZBHGqmvG9}k1=UtVU}yy?bSbRw-lHGk-;w6Tkcx%AvdiracRh>>Vhr#x5P)LK z;N7vv{TMUAq>C*Yg1oMGeS>|KxL}+^=v@IFCF$1eVEcq%XAEcRBQ6bsqHFn6sg0Gw5+ntL* zxUy>xjCdN!u_W2l30+&e@Wi3)(%ObgPJuV}%DSaRujV(kOPn zuAei{sZPl|!RZ-rGMy}W1(PQu8Cf|=$Z{OqUKv=F!u94DcEI3o&c(LT!Dt^K?Kz;W zPl5J^wL2HZn(L`U9&GW?ocYc+C?u%1dFvM zWh+u5|0o0697P*uXjmiEY$57)@?97U86FT+COe6*~yacVDibrF7#uZIcVHYt%sSN zjP_L0HU(|tb~4O;iTU~7jbJ*m9H;F(L;k4*$g>(prbEc^R6Nv6G&b^AG^4XgJ_6*H zQA>V^$>$;&_6hw%MjyjKvp9s&4kPW}jcFtm$1sm2=BB+G&L|Yeit^x@DLJxqu|(JW zy`%bXLfqoM2o^lKB|NA9)y03{z@TF7(PVMpTt!R}vD6T8#ES-6OGn>=24C>zDcP#hVf$UL0j_!yhv4jqxl`kVO^uRvcD zyI9$EA-iw!F^`@I%aDqd9fHv}$k%Y+Rorb8fcxf7xM&>=VHFXkrX#?dvMdWFb*0Cz zTKUZ)`Zek3+Q1otLxiZ0AP3q6^mL-#_@*a!+=fxgWLd!e@2im0$fKF@&?Pq-ePHOo z&)^4&yAN?!cJz$leO(yzFGR-fTS2H-B@AIT5gtlMNM%1Pa+&Yl(0u5v-&veGt>bLBb&0;|TqE&p5Vc=k7m>y9bzHIXwNCTtu6 z&#Aw}PBu;Hm}){|B4Ha3U4pnV!RHM3{X&kSW+8McnajU_jcq$`t-8UJ_&Rhi?tlhK z;NHwvYhdXVj!n^oC$-Fiwm1iEVk^{GYf{%KlVN1CH<$pvRN&b<-C}V^dMIQ>T}bq8 zdxS!JgeP8r5BK!BkiF0~axMf`kB3_0MJ7Wkk~d?hr6M^>R;Gt83@VdUWoq!f?8d0(efm~N=`+rhPOT(rAAs+?MZ$8CmX!0ti;l95+ z1I6;Y3RrSG1e8z!*1-V23zk@f!3nX1u_z&ne6rXDOKefGXOX6LDqq?VmCfahSxWi6 zsQhzC!+OmY=rOQfb3gtxcyL?!3zCTuWr0j?S83}o0^?3=C-4D)??NW8@G@yErjf-^ zve-G3(s~)*&BQylVLa4`ojhZQYIriqwzUO&uT1F~WqLq)=uO08UhDQEo~3o0!9;X1 z;sa49E}!EuG&;-OHc8m+29DRnL&Hh~_Gr%Kbn)IFV$jQ-L}~F*Z@_oK9v=*{LN^wZ z$l?sL*x4RCWim^}UO<|TJ7rRDOA39AfXd5cHJ*{lR0V3K9CFCvlWb9Z2Jy2k|1#$7 z?@4K`*c)yI_OrzHHjk*SVyE;-o`X*)e?ejD1jn-iO7vAF;-S4u0w(OByeE`Oh>0FE64U!ft`r`MOd;v0RsK@)!=_&VAOq!a3?a| ziRP3o#h0|f))6*MX(K|L9ld-3Ka9}Xal%prbyPvG6Zr;@5tm5Xgk=zEGLZ^=r2nG{ z%a!P$bVM^IYZKsvvnK>Hl%skaK@rK_5rg}yAq>)vkmZ1Ya+)KxARZbaEYPROvIu<5 zv}P}jxi+a0TfgPVhpvm@xLvq(5VWwh5y}4`1o$_vSaHBi1`iRwkZ^3yaiZB$ z?gmTV4A?VDJ3wdyC3CqB28Aj=WA?Lay0K8VDl-}@s{(a2 zAxoNA3Wlagy0oTbZhJBqv%4d!YY;wQgsnCUkV?F?^jAtw3O8h8eL2C?q zx?;~v#~#xzjp>55O=?5pEW!P+Z)bzQ?SK6>ZU1Z2=mNJ1Vv8owxZIQ67)yk$<+3ek zp~Zm9-4#FmwZrXPz^E%na>~Dq)6UQm{1Y=X?tfb`P9sDYm?H-==vSd`I;JtZyx*jrfj%H@jO;Dt`CCx@Gjp56kW)-@?)u!o+o~uWFu2Pqg z`jjBGt~-lIy)4-Kz)YR?%&bu}oTu~=(l-IUuAfVu4BgD@(STo|NV!B>(}F5;6&t^E zXr64TVF^vtrR?4+^&`MWT(e{@A2Y)JWy!kKmWoCIWfjbRqHL`Sv!)_Rj zk#uZfCF=x+I=Mf*@%qsm^#gTeEzXs|f>~KKD@)9AR52QBn_E;3>{(y-!UA$j1w;TA-6E9ZlF1!KO9iK((5~9oc z6S6Wv-RU@_=E-|_(nRSuWYvNrNvJn#5O1Z`o1*_URxvJ9k!Mk4YZ0l=&+o=^XWxpl zRpYYq9_s>ua8N@&kjP&(mo8w^e*#^A!J801U*RJGmp+La;={Ed=JIO>Uotk*40ZRs zh=oyQ+Zq=}FJDv;OGX#0!;Zvcc0uMk`TU8G+9XAQ^AL3iV|eXfa7=2ubF*-{=IYi_ zP~>x1#=PS`KI0rt1e!oQI{^ZSH*@@XjGefg(3{=~|9K*^H1 z{L50lT9sRUJs3Yk#sb&f1_@c@s-@fu_<8akp7f;5bJalzYAt{AWcLTk#`SC0wWABM450sIN*`sa4{ObRjxS z{|53cv856JG!n$KK)e$T#zXAG+)x)2bplc2p>C?CGesrh=-mOx(>JKXUq&;3hI@b- zEA9GYU+1UM1>a!;1N&!x%wDov%al@pW!1CUaLv&Ll+Nt`u99i$<7x#^@6B@WuKAUj=ibO|1E)H8mU^(7y-eTg1TO&3|vO= zDLy!g0fhQ0aDoRKJbpp2+A%I#aI=U@nOk)GJQ}|hbq|)${6oxIvH|;qF(&nk)x@vA z|7%CG02&v>wt>qdi0s%Q=EHxzJu&_8oRDSQ>f3zJllSl>9wJmC&+u)o>-Ky1BF*uu z!;z)yn=;1)r#eI&p7VM=lF>>so+Q0M0)1*n2aIU&iwWPiL6(>+Go%xVw517|ba+OX za2^+JI-91<57{~`$=pL)CmAiu!r|XZh5-(-!0%b%7dltrOEUIYlAo2C z>R`tz>U^T!PE<6*i41l(X+g&c0rtZw z>_mPy)Sw;7^U`_875imk4^Lrx`%ZOO-XCa~q}UUPeNqZL>UihY7{Vn)=u{8EpD;C~ zJR;R0g0;*G7a(zZICFF`OOsV>OA;hf1jrIfbw{$4C6hAOJ&L{hYG6N-wzm0}o^<@>Oq-9@z1h$h3{mZHM_9E<$zewACy3igUy&UH$w8kFnWGp#Tm_8h6@#MiNfpDj(dkIlL@M)<_Kgi#jk~2| z1J*hNR^$9$XTaLL0qet)IW>f5TnUeSH4EnJzbdSle0qZq*Vo{)#geaK@*!j&Z}a~3 zVh-M{d-?Ck)z4}e!#tUo+qMbjQ}r>Czn%j=mTM<&L2rxRVJ3ex*!Pb_`tcsLj00+* zFjlriYBG~-!{CE*af0U``S6cT;uz*9?sBwx=|^Og_h1_rS!VvzUevLeX_@A?;!3DH zK-3Lw9x49i;Py9Lml8NA@Ds(qg!r9^k5d6DrF;;mv|}(tqHrmeB}na1Ug5IVsPWhFRU!Qb-I;HUy6!L_-ihLfC z+Z%Ee!?*F!V6$(}g*FqykqMBAT{b9LF3HyXsdjM&j-nZz{2~05&I~_5<$1umP}C~L zkC%hu1*6bC(L7~XZb&=b!~IEd7ZP{8;d)j_Q*a=~c<->wL=Pn)9SzNmdo%4klVzF7 z<*=JO{sj8dTAXwpi>xmhyFO#gx!xK%?0+4uZIR3v{I1gbQF$3rs}&ZE55m;8-g zGu}am9I45RtS{P)-d+2O+d(9`PQ;BxHgcf?C4Ee-!0Do(q(4;B7necOgMSG8Bu~=E zo+L~cpiU*+6Gak^Y1v3gA5+ppy#Lcbiv*(%IOW@%DvReD#Cwk?>t19H$Ah`M+Rf=D zWNN4_6?HIC+ZyVU{H~cEyp_U_Bm8GH;>g8xiN~|a6sH#Dc$m8@W=mow6m!~jw5*4j z58AsWMNUes@J=R?J7!{k;E3|7@&U_m`8Stc?Wx?}xfI-P1veyz!xP_ur{ih&aLJd* z1D534H(%h+C~+P`YEGoZJ0qb8y722sXi=zr5EvvewhM<{2_FiVq7TN= ziz{shwCQz#>hJ+X{Ej^W78RpT%{PFi-)>D}DE@Tb+a5)N;aIZqGtL^SYR?}k-FM(poE79`91MWg6 ziO%9FG^+JGi=mVC&=&tz9XY8{j#sKg7vUmr*hjpa8I&>dqcww5FNR`;su;$|aT4cB z7}P&oX%9>)p|YE0gzy+8yqJXh8lePsl3#=NA$0q1lHbax90ONF_rYjZwH#|$jgOwD z{04NaA2Px$627~Ogp4;)l*p2cmi=5VheNSSbQvzg3M@af-BsroE&}tbedb1zoQU{g^VLv5oNy5Z0Ue3Mt)1VuN0{UD4imP7gH8+O2keK5e z!L;Vaj_leKmjts9)&tCjqn)XQdhmh8`S==1o!*_#kAx+W&*&Wzm7k?5pGQ&cRa7;% zn&W7+bd2uA_~mEG6fm%Se7(dHt`k|0eja8)NhAY_lS<^63CH-&# z_&g6jTxXAv+>$?K@_l3<51puF>{l#ul}5=hXA$!n#mugcNvmWHekjcVhWP?9 zuU5=(eN3E<_F4JBuF~ynqXE$E&;Y?mBMK*|3@*iT<*+_+;R{vC(LiGOox= zS=h>;?sH$D`Qr#>_B5GYrp&5=!$sajhj>Wf0z>vLCQ|Pp5*XFK0jwHENJWZu0kJx! z^s-yd(5vO=YP&lIgTBzA%42`C5*|RpFMm{*0CqNRJ6=0F>S1Bfno4Xv4_K9gH4KMX z+MP-xx?9g-Sf3Elt%>!;D6X;G+hci~3ciCZPY$re zA(j4@_8p3I5pniW9GFqAqRT=C#2K(8i5WPNI9q;@Y_d2;F?^z_1qsuhMCw4K6^4Xu zwMWX)Oh;C*0S<12o$?_DNq8c)e`{ba+!1Z`&ZmrGnIt*CeJ(hUQO*bp@(<_Mxp@Yh zM&M8Yd*M{MNm!DVz7j7F{jql{!N2efzv8I`x`ud)@*heTImVx5uF;`EdlUNSMrcwp zb}gO8(mON#Yo;TEq(Z>L4>|TYT%CvKDOE?~kh*YLjVz&4nNwBf_l2OI5ujF?23<<% zodIZg4!M0ZSy!WFAQKRAA?RH^dv$}cT2y9>4yZVa7=4>PP_8#Bq9Q<{ zat!(gp*IGgJKN-O<>L@D8o*RO1|31@VT78#mR`v8c0nrNCg*a+n%U$Dm2oJs*Ka_2 znoSJag3u*|nhZ<-`5dIb6rhq1!?jKZVz$!R0Vy+7$^zn#3*c)`4EhwImjs}~&E=`P zrtH(o=08L{A%LiC40<7&@_?^4U&i;gBBCoI|x;qV9>&Ml2?>bAyL}}QH7B~Pat&j_bH7neSfB} zX1Z4oU=*Gt3^&2vIo~X=sgO+rf&O9;U4$5P1)-AzLM;6)rr#2v;^pQLxE8-zxa54n ze_HZyxb)_L#5pQ)9BK0cv|82%y@b$C0jP0yaUnM%3M*3_xz6_KSR!xwE|6DI-k==_ zT|uaosipsQ7Si7eQo%wH(*z=T&#-n2Z>fkHBF7DRNq!fOLAmU7-d6Z*!bcDu5AAy; zV@v55P@LHw<~xdcCo%gPCpqQfy<$1XM)}QCtV@X1DW%*%1UWi{CU=+BNlb%)`LHu2 z*L=?+O6{tO+U$zjHWjrkQ%7FdTtx7c-D-Y=#AL-oFy7SDb-50Lc}u7+AD;uTxtLJ05Bgnvow%@=fdUeIu(w>JjB` zwQ74RnKl(|IjhW_qXs#GkmNU_?Np`I4X^xGNn2-9UWU~lI2T!dWGCrY$~;*B7SDhM zw@>LQ_X^>Jv`lz0p3azx67tY zY?!FgPpRdY9pLAUM_a3EetJ4+?^B+lzNOD&`fb89pnf+`Bg2|XtU{BFi>7ke|9no) zuoJ#4IX<@WV)b&4k4f`qHkWMC)M*PN~&F}c}$hAL9+>c zKM3_Mq}Af$-MpKW(YO79`Xo?!H}8{Z&v+*IO8jCBnP-9zPW}%so|S%4qS+)G0V3S< zgYviUz5~66%CPPt)@gzjnQqpoNWRw+WLP(i9vcOm&595YeGgQ;aak@(N)nwZ@>Xva zbKw|SHLY*}LlKuwpeSJ6ZCG{=$-@Q(8lCN$y$_>Md=jST zQkB^t|0Sdd5KtZ@lxVu6l>2v;`4yfMo?KgNtqN^^t!bs8XV0RqZvgmPCj-?G1HZe0FLE=AaAZ0HhYVTL&o`$Y z;0nV$kBLRE>Sd|q?j-#MheQgFFWI0S3H_K*Yc4E(M+E8b1gSiY4B<;6Jd%dMkPdm1 z7`g+M!ur3n0)^8`6`DcHOF@}(IfL9q$Z6z>`|r*q<*pP?X(w|z%o1^ zT*jpXxvcnt`ZZ#%O5|Eb%sQ$@-}D8=bfLg`K!FWSL8N&^y3I%WKibfA7wqqCXyPJ3 z(+mvF6#JYI-A8j(i%NH9eakn|8B zUAU)b$n1R1;`rNjH=?c|gc(+z5imop>M)q>+k$<`+=1ZBp?QOxNXQoS&7hp7l+G05 zc%$?1#xY-c3lmevD-tEMwt{(LqcXk%4%@FOw*a4nzhHKLP>my0jraRN*j*5o@>7Gn zM#v~tV}GICm1_OsUgoF9Wdyk#MlOx{sb8ee4}iil<`56Pbb7#I|58;CB&)AJ3#w|6 zEJEG|1eq)n%3V>liKnV@S(pzlPHt3Cn{woO82;K{< zXJ%5AA27Zx9}P0{QWjT`czS$Is&hD2<>rv{C!Y$rC%0%{sTwkSk#N89fQkGusFr>U zc$_l$>|`*w-@}ohao`xQmE@Hlh%sIx&M3hN1b>~qX4|mh#5&#Qq@nN!kXVtFuW_7% z9Ss!aiOTytQnd$F%Igf$n~*<0p$4JngmPDk@{x|_b;f1ON#OE2xinIg3HJgtAUtWa zdo}t}?5N(zp9XhSA3qX{B!Zunr)VbbrI52AgrAkS;wveAE?_GddY_d);aNT_E0SaQ zITa7Re_FsQXDGuyWVrp~pj8ZVC?V?s0p)!{xhqyVU{AA(aaq?JT&9srBUXv{U2K7B z^fD=i3x#%#>=ow_W)2ZJ0*$cB%=0r!9i<*wxXnhut8Q>!4wh?ZS5!JsfVumT~x$(rJ>5~3X&WkdZoF@RqNT4aald}Dm@>1 zmB_2Ve(6aSprPF*%56__`{=`BMY%5^Pvz{*L;@h$gWcr&mxP~mRHa1d7Q0hwBd?(DDl=WN4nTVr-a+i8==q^ zgf0m}9lF?{F}M_S2Zn?SrxJ<#b2_6CP{9G2`a3VIcGywLN1r@(p#LtadfwclZ zwzqtiDCcv@c@OYg(l-bD-G?zIl2Of=!jC8X*Y|0M2v~cOl|gd|{UiuwWQ7G8*LiI2 zQZ_#w55!qPMEO26=!b+(3PO#|!M;?9*b?sjL8L@J95iLCyH!*vne_?ci710!Md(36 zs0LkGp2Cxq%SpuBx{Ucw^UHk1`yT3KMcsp_HG(Q8;xdVF*#0}kn_^ctO zmP#al)DI&u`@@PlpQyK|c-mmHL7yP>q99bvg9uhfiXS6G#}8;XSPah*&^r_ak4?Dm ztVreMF_n87IX4S(Mq#D}wCy}#y?}O_GT4m_7X44;!(R$9^p^@~A6J~Oj|0x*f)fa6 z2YW5QVZB4FTYXLv(0T@aLK!_olye0ot&ko;q@J9r-&#NP4m2JwE8V4}+YfZ9#={_| z5z>qtk20fP0O-sEZ z#lmkrC)@GXej8pZ;#D_@r#(s$k0le&JEaDm7cWGR#rIF?jNh-qVzuNq_}%dA_as-*XHkp9#sS@-)aTgd9hm@comwtDV6OEv-C_%hBZW zBR-@4xjf%djdmOjig$#G6fI#d6HM1PA> z7AlVi$zw2hq;hVMv4osR?l}Jpt9^H^8(h`Ga&BBsAeYUF#&e!tvlTuMIoWaw zQ_V3u0CF7SFYmmkE_lXi7K*@)3qc!SF!?JOiI1Xm} zut5_uPEFge^n2fg0M+e=prW*DbXQnrCT9sl3OkRm_6#_ryC?+DL7YUB-I99lPYcC7 zl9(Ib70lVuxLi61sZw_jhnIScTPgbXqk#UlqR*85n&Y^iOY}S)i{gPU(|zp~eL2zZ z_PA?rRxLQG*}16%d-maF5wJ)yiH#(%<7quoWgidqNqcL>H`q9E7tR4H^?XX*)0BiY zdjLmAYs00}vS_@}2PcpiSB#50%=9*hGAqcHo)mGIa%)9y)r&JSSeRQ7y5e_oN3bcW z+flSXUSd3s5G3K!=IDh>>b8eV_dqC^{FPy@*`~|?qzo~6>4jSVQtmGv3GUZ|yOtE7 z$dW`hhHy6#`qx8HOIGyH516N*+vSLNiW!Kw)>1B{cS52M0xa`Y|y+T`evSmTM+%dnz;Ng4D~LiY*Pg-xuK7iqO&zVEUI#cLElFCCmB_!`gZnuqu^WbhGqtm_A*o*&f(=fy|7p z9O!FH1|G#=V$^wT44cYWQ!T5u^CGI-W8`vy$HmW~LB|u?D+u*!iy>S@gniNwQoDPW zHn*G@^3g>8u}bV9IkEJ;nEol#r9QxC`sR3Qo~CO4j&T9^H9<)$>N>>U$s?K>Dg5JK ziBwS+DD7LMy~Wt?T-0YO*8Rjf+puI0*mMLBvB->)u`@ElrF%Bzc9lmnGg8{0rG!IC zm}7(~A2DceLjRoa<&8US9{u}0U`E)CgbQ|&Fy(hu(DnQ#B2HQbT&jH_l=jRyNOgX; z3z%QwGxyp^gT@Fw)rana&PF(pgl%?-Fy$prh0IHgNh>m`o~Kzbzef9}YiaKHka;0G zs@9i>0{IalTa~l)B}^|-CaD@>b;MgsQ-Y6p`dU(se_#WnOIxM< zlJ}v;J5}HYEg-ao5B(?O$yP8lUVo1V$6hyAEb{lLe%L0}>s;0MtwW&EEk3zd*am%+ z(DQw0qk3>*K3d==@ZY@13~r5iG&A`#wt;!pxj>~AkY5X*pWWDoQXjM&q3{K`i)k}L0R+JlfY)#kd|e@W1}pi?lXyqX$`w{4+-}n3@%k5 zD~LoQGn1XSK&f~r|6hzw*jLTO+cA8LcW@Z*NVhA-9Ios~ko{rC9);gSV$L%IUNS-v z`w=mdh&TuHDCI9KeHxz_dDlr73h$$9d zUH>GI;5Pwwy*%p8!pMa>EV|Q<3>f-OmHhTWkUR#G=_jzUCC^~;rBn#_;3*lsu>K-U zS$An9H<08gkjMlXI-T?hvT;YGCdh8Yvo}G;-U;U$9uZJ&lB$+VhHKvRRkP$4OkRRy zkc}3y9D46m_-BRZ1kudYO4}A&%?XTt>4BiXlk}Jo2@s%^v%sSYMI(XVWYywfqMxVe zRZc!noi1I3?#@H2P_&zf*3HlY$0vM03SIDh#K-!N!vmR|qI7wrtHWoT+24{6X7V~D zgRDZx{yvij|7n>t`t=8Z{t43meI_4JE#4sdjf!3cL)h4iK_4V^Frj7|OCQhlUO_6y zz7`DOA|mXQhQRM#NRahMx1%S&uK)tlzYxpEw=6t^h!k!l$=4=5c)8oR&tj9F4OM_ zQh6n32s4OqX&OSXm1+Lp5NF`4>1p6M{MB?8I;9F|acofe*H!sv_k)xxAjOoo zQf_f@mx-#Q`rRV0J#QX%_$yZ-i@+12RZApMP`Z>UD3oNm5qaVOFHDmvn5`=S~E z|6|?G&$T1_e#MA&GR_7*HPE!^kbtu+R(2T+kIcPIEd(LU(w8Y^WqXA8bT8y%qN01ZI-@aZ=^qK zguYeim&L_wubgOZJvS}DAWrf-ZRih{oLMhcqpD0L$3k#4gIMweCZ9w>@z4(k{j)(P z{9*<%`aY!JK3j75cLw=TwP;85<%*8Xc$4BQ6uNmYKwk+$9Sn;FCdI2{Qd|O?kCbEq zNyZt8Z>RyFN~^?2VX$5ncU2_W>Larml3BCSYGqbLW=DgW*~pTIF?nyw!2Kr&{gLz(3 zE}ASC+2ua!?deBbh0FhiCJko+5sZ8#4`9B`9KWeKuFQen2U2h3Tj7E87GicD4ceY9 zir=01zq};*bRBQV*MK<$egYsv&!6F^_?_GZQIm}F9)biu+`T7Ls+#a{u!$}4jC$d+ zdHYL6tmp_XlT8Ht$0mCX`Z}RE5NcH0l(Yv+ucL{EQs9qHBH0v%AR$vgByH>Xt#Ox%H@UGN4eUdZ7`ZOkNu> zNapSk6z{!YPmqr@@+J9)`P(6zDEtY+FEY3uzlNg0@oOxGy#xH3D*g?`Kg#d}UjW}; zkJ)yJ%|5ndQKbW3I`8+BAP0BPqZHz$tuZ`+g zOw8V%d{kQOdQ7-CZbHK%C#1-MIXEF@CstE^bg3bE@u`Lofmlh8-=M%>+5RWoGO5|r-oAiUe=Gg0(`kHI6%kgS6m5b%E zD_OiLkB9N`C3!rYk5A$8szm+~BJcsc;Jj61Q;s)(swy73RbTTBdab@j?RBWW4z$+- zye9I8*xN}8yu@Drh1VtdSGP&!IYe}x^vm(6@_qR-9?0`E&=R|w9G;BY;Zh`H1P%zR z5Y^aNAI=06>|(*j%$U1SvGWugB4obzoc!{!TZ+eS$tY@G39Jme;sWcRa9@d?CX&KA z0GOFY?eVwC=;rvm1^ymN*4m>clLo0nH|K+{Rnf8d+q&pT{N1f67k`@J948yIkcs6gI2=92*{OiIXJflR2u?FxOph4aN^+eY-?s z=X8@sHIbjMK+@-H(WdTY1baI2t@~h#n-4iC2A)1Kwj*9HXKF^gJ$>ptJY)73cNT;S zB$?;Dar}l8?0@c!Vs!8y4>6PptKz$)eAf{m<@=eTNI2Mw+Tt7$ek{y>7N4cIQ(Uyc znT;+p3cgPUiTWzhZX~)5M1Wt5$j?F7iZL*Qx{R-fDf9}Xj(0ePcv#`fW8$Gkyky&>#&!Hcf!VDl^ z-Z@+G(0mX&8NE>(#zV?ED&<5&(_I~jpb47-Gr!TWZT%?@pd5J3b&zryWp+8_lD;zkJ))C z1s4BB1p=pRVh<^y)N?fgM&Ex96&m%QeMA}YpKM9y{(8@U7UrlCQ~r}*F8=cz0pdT8 z8%ofBwlcgP^>lszK?&RSh?{UdVx5aCOXw`af*j0U-Xw>kzya_BMD?IEJwj-C zpMFL=me5~jkhVjn@a7@#%obKw#-p21`|v+&1eQ;pofYu7|024CFTe)^9(NSkJtgcy z4+w&&V*Z#-XqiIC2vq%XIG)q|@s^IhKki#9{&*!*cJjw#Rflwce4e5E{wk%NAYg?8 zE~YSdbqLN-@UOYdgSzYYc$WU~OD56{j)Y8e*H6V;%>Uw{w}md{tuJ^af9@&X`Yh4w zd+VJ-7y>A;ZT??;5z&xN1r)ahA+y%g*kb4#yAMd;kyJ3?}dmzI#yekysE1mz( z?g=f3AD8X!0iWw1+pT}>+^n>FLbL6w&hw3c>Qax*od16*tN9Fz+^y4DGPuH2C^a{0i`^ zH6v8F_KzJqD~9C4N1 z!UBYXQ3uH|i(cv5M@}^@h^;7yeNOS8Gb-@=@LU*bSNSkQDL(i7U+|%e)z_#0|23a< zeKJ#g{RAU^4sELrX9=e|E1F2@3&NG-#>%X7<$~ld|7(FjdHAH zZZIE9wOD*Cl@D<*nR_@|%~W}1d*#(AJ|<9i!jFf}4og+ks=Ad@;=LEOMIAcqQ8Z>R zKuHNY4DuWy*?=Iz=_r(paGb#lQPoi0G}8b2d=aEyH2&8L4{!8(s(MF%obx*fkbPba zuj_V8Hg>Dm>$yMH!CpLb7Jlt6KvjuFR@(WnS#Tey-I~hX^azLTSK@3{J5XLe;y)gD z3(tc`D|OB8f&8{m!jG~+cp?Y^@2_wixSKvrj;17wA2gT9WvimwTY0^Ea4=Jj@x@jOJMsvJ)E7#u}9?@PNML75+$uaL+Yq=aIIv(1sobkhhVC z?&HJ2W=`lRJoH>JzNHg7m`@845*po|r2F9^%4h+Q^gaff|8TjhSjg8&tuAk12ZBzv-sDsp) zH<0DZsmwWhrTiSHaHCe1lHr*dUjK$#?><$J!QslF8yP$pU;rVTAY@a<=p)F}`p;JG zO-jG1wuO7a^`eV3`S42g2(l6zzX|t&>nPT|kZrx|cC9D*6qP)u4J3C8N@lF7%HIht z-d9X&-O7ZGGue*BV?_88!9XbD{1KwHjXajcD^v$my@V@Qa7v#@VgG!~jq)RM7+cD|x*XbrTp z4J|w;2i(^j45E^%oT%LuoG7~*?W$^~w+A~@m=X=oSg02~mQ|)DQQ@kF3WdjP>-U+t&8LvNMObD)&?K7Xe3u2oJVt@V(&-@@yZf60rW0cw9KC{Jr z<|?zb+%?g-f>?KyQm}_I`}QE_+rQ*UuBnRmUfK!<>h=IZV~c6ui_~zhFg*w9OCl9K zEKb(hI{5=p6lne<#rU0$5@org2<)nA?m7U-$ieo6bE}TLV zESzS|9fE>u8I4_l$7nWQQ7osUXS*OrC;WzV!8^EJ&?TVyrK;lYWHwrurPRY9|CA~= zdbIk^G+(p?O`n};kZ)u9CJ}Pe5p+w)4FPVKsRnlw`PchX7NCKotuln`h_E7vFl3;= z2>s#jYy{hoPa^Wuf()0I)xv&hU7-T^A<7*=eq4V(aG;u9o^vbkQXTAndW^vsz=Xvt zs=%aKL;cYRmGVXlNZD5vLED875GT~PIqDXg(?h*>4#zF99>$2f={}l3+PNOlSg0d$ ztvS3=(Jmv}QbFsGK`^mn1M@w!n-ndNXis|Fyoed!J-dMfyAROvg%;>DifptJ?M9*z zLKK=opbWSSjH-;#JxHn_HJNf_mBG7NU~q&d)ybEuwF*4d#wpTtA~jD(f({0~gV5x? zz8N4Kqdv}{d}gxtIH=u{n)Qs-jrJ{ghcZ5mq%WmNK@wUM0arG%a=zl4J&~zKUe5jG~v>FI8lm^TEDg0xXxOuxyq=5jJ8ZB zR^J>pdWC7|b3OF=ihe24s}((0+em|)M#xJZ#3_U}gR)R|1CzzjM?3}UFH$yp6M2Fl z2Xq_g2{WwC&44vDpqo$s1tEP1u|-5iv5@IqJ&KePSsvcID*s{PWeOhrjA4i0;rtTN z*06^Y`=d#;k&lhM81zg+-v~gZp9z;f7hsf7u?G?Lt^lf9(4Zkghx^bWS$?6NfSWUA zBHu7qH3jA=#I)>N`XZ(uhIHIeQysXWX3JOU^p6m)3Gn3l8QalPP4{|F75xtp*2S6Q zK>5t}*cjGeV!bU`eY+r3aPdjdB$M@*8~6Cx#oy3R8It4zqdIv7YB18*vNm`7)1I|& zdX{+H)-Z#XBhH(6;J;#w$zTK~vXU>+N(4guHy#XY&?MhNI($^Z7%MZ1hJjLQtK1}z z)cic(1e&&mrX_BZUYWP>@VS*JcCL>f*U5kB8L1f;_TRu9J0#f+6BW&QWM%E6c&mxV zLfdw<%&2XLm-;#)1+|;kP%iG~&o`%9nsN81w=_*zJ>$JwQN0`QmRtv0n$Ac^OLHoJ z8f14r8;cL zfDUJy4#*Uznd@56tuV%_-T-&#x{y1Ndqyh99}4(NfwL#6)SiSs$({ti33oAuE^7CX zx3_%;80Sm%E`2WQbFtsU$TwmYzU1Kseka34Kq$QdRPOb=@Znsz5ppjvy`=Z5Gnv?$ z45cMnO1M!Do20%S8=WVhdo?gW@UM}n`2p+0x=8PAjX}PuHD^Z_zW<;W<`>Y$wZNF7 zRuNS5QxxSsqWl>Xl$E$)yKHH=42`1SKlf9#;Y9n$(DZsyxfqiYFrM@of9j14U4LN8 zF;7=6hmcDgT--7D04U?KJSj%H+%puX3309wNs*Npgh%bosJ+cYI#ZF>YzI<5L&7>p zw+s38TvWu)`Dk!Jl{tey4OW>?0##;^GQ67%f5lfV3TP!d15SnE2`w1Cp^HS@>ItcD z<&urGc_mbn6CH;rr&Gx3IptJI=M3C!bAh7lPn0_q1;vu%UR&X7-~CYlQK#bkX|PUp z4AhN_l;H<|fnj%J2<_2_(-4;nt0SpV!-iO<)l;h3rOK*=tTs#(LsWwm_5#ZIih-{n z_i0?`fDq3cv~3$h*s*7LJbMDbt7=CpySKK1-2tL07o^#RxCVTTz-EmAiwXSxPMSa{ zrB>vJr!28|Wfq>YpvBLnOQjaHhU%yVd-11UEx2ZXsRb^3)-kGCF8NOs{_HR1a|pAE z`iyqzK@X(4NB=_)^xL8AwBD~S>V$5>M z4C46dvK!>p)$&AaK(TB2M2<4x8Skl9319Cvk6@RaKB5`8cq=lnq)dEd6Ta@d=v)n1 z;nLuQ`!v2PtdvrzChi%Z5X##B|$rktvJhZP-K-d=~yh*4m% zr&ZvOe?nj(1;#@c3Ow71yu;D!ei*$*1#=gc02t%PLNyMbz&TVd$4cB6Bst;k|A){P zL-DA8O6c?YHiU?GC?du`WJJi0K1r1&^0m-?cMG^!3;VokHkg{dU5a$;871T};0Xjy z1CW;&H3LEVeyl-|&%Fn@#X25}P#L+s2n*3b2Q@E&Vt}+#H93&wuDXNSOh8Qm+VECA zHTQ#>NsUZHPbjNV%Bou5I0EEW_^brzOkrxkdnLyo{hrG4%bMfM{y?Ud(p3H0xj?* z-R#cYGc%HFAQ&dGx}jY@Oel^#krXXFih7Hsg<>!$ zD%|ginPr@y3H!k9^CFA6yY-#S6gap-3Fnn zJ1Ul$fhy>Pwr+2k(KE6*Jo!-C+zH+E8!Dg-eSM*YFlr0*{ET`!p^E_Ir+KK55Bbe{ z7B0R$1nO~Z=Na}X#C}e(yAcTsGHO7uFP{f2=H235F$adZgJuY$;X>F!_G?R2jSPAwVLyre@9-{6eC@HokP=$-19`1c;Ix@G04C7C2Yv49SY3I< z-~S3$bCD4ick$Rq(G?|grFjuujdjeFPrsRY^{DoaYhZX$c z_Rv^iwG+A;Z`co=2o1#p|JCA?F7$w+KA48u(?dPNP@M=i($XpaMLZsz7wXKXc&H_G zaIl&m#}Zr&8vzlUkYtO|>xl@KPBZECyvVHZBt{b4$OD^IX?5AXDGV9!-Dfk5I&+*F zRfn;pqRe7NnT3jCczY4A*u&FWf{t+j_8X;F5kJDrRsm~&1>tE*Sef#glc4^AD9~uE z%$oakK*XCg?0SxNbf;!sTz~PN@Q-+?78jFSaes)MB4rVDjKu>=JoQERpK zeSRAyNbNgtGizVxBZc*mgN1dyR#Y^b^D{J)`&unh-&grhCI21N82qieK<$LP00Xt2 zF8e9&aN>SsxPEwIz_SRP;{#cZOkb@=kNoJ{bsnox+r3eZUdI#ND|8#9H`(!n0kc?W zGYTOZ>+l9V?Au15Itf;n&C{Stp2f2`^ORu7v%0= zpul6@+dyJLtoQEtaXMAO03seOZz3Y`g1)9Eo`Mq5Une4%wG>5ziVWRZk&pYw-fg&n zEc={_biN<53t~r3!H+u)r!G}gxc7X_7<91{S&RmW@!YsUIIm0X9NP8+i^JN<^R)Cw zZbAWn@*n7fHL(ik<}R*4=29t`$o-0?S(O*5=GrH$0enLY?XE|u#Ujf%K#D$8U)*D{ z^9i2|mu+E5a~xv`pG0QS@p#pE9%seW$%#k@A?YFs@o{wxIuSQ2=1_SR@q>ZKyRjMf>{zrZkZBIDlM|Jvxk+hXpg? zzRHd=9(u%HZ(&p%?=YONm~QV`gL#p7XskgO{3^)P(ECf%f(w~LutoCtW|BTWAhWa; z^7h25(r;g6eEtom&>nP=J-g($g=nP_WQj@Jg zxb!yCXoby3auuIB#3h0JTUP!*vi!$rAfE?<^gzBl+{4>M+!fEZiC7kL2xkPz@z5Do z$UPU1K0yRZ^@A;nWpNo1;Yj;`i~QU3eQWfY!->gvSsjF%p6M~^^CxaKMk5+5l|rYfMt5mkgH9=_&1we)M2?I2FAz6QGoh!c&93c=Mt&X4#5m}O#v1tx zv+-eA0WMW6faHI$stGT%qp{FS8(Ly73I4gS%JLv?+`T;M`Ao?DPYZyyZUR0x1;<0% z;ZLkFPy|=m#X~1CYcSt7^a&Uy?}VhoEvYFy(7pdKRGGI^^z%~m;nG1UQ76<9Dqte+ zD)(yOYK1+-*X1)er3-S2;fWNl8&kYw*yR`IKYrwW8)=4yI;6K-N#%N&bkI4fI_E&= ziI#L0JJZ%F5hox*MyCr)v7)vBmeurl=m-I!24ci29@>v@K=P)Ja4#0DNJ}x)W?NTu zF-(pq&sw;1=pymnS3qa?*U{oG0*oyz`8qRNwxTGjz`3w)ce|zohI?nfbT7MLj(3X>b3hz9ULYe$|SXKu&oI3Qg6S1mnzuD zBeX^6JtByGipIi_XoMyCDY$?dvJQs8xsx33gGzYd@;6|dwbEz(RiDg z5D<-bO7e$8G+#wy2sOTtofQavB}%#iPU(KT8~$YA;7N%qk{E~rU7LqsKj&yXto{}c zEqZ=%`*kqW&BC<9qr!ASH1<){Kl?L>ydDBC4nhKs+Ax+s4c3P1nn-OZChrVXhxvGS zZwCj_0U-{pfI`o*L0E4rG21;zmOXR9bEv2*G&&3{w37+BAN56eK6YEvqHOmaG&5)u zY7&uc=yVXkZ?n}?@z6dZ6yZKR=H*)<_r%W-A*5C^X1k9eOv9pTcOq=c-$nQha(IJr z8a1TnWS~3}863WxUyMKQ%E7wt1yZ!5cY@fTA~})4IBtF}v7cA$62WGW(;m8}E0h{Hk zd^A@)9_MXDSW@V(cx36mhY`WGvC%F_YcM=svNoed4JO5SQ*NZD>%tgbHamsuoyf=f zQs)%9z|)s)5VP4CunlZH3;!d}%oImH8b3ZZz>S;6F}@rdz1|5OiFi*2G0ua{(7-*G zL>+5)1B$t)+h z{Yb3~vQtePjmB9PRj7d9x>Awr_*1VUvr$)O`+Wo5F}{HmUFwH9TYiJR1S)jTi}(}# z21`+{|C*FsyJ3`13uWiqh4RRIgfh^hr6`{l%BmFQb)al)79iUlIRZ=ULNP>QZZA|Y zxYK4-Dsv|(*=Q{E1;9v+g+6D*=7ipppAP@yPb{=rembnd&yvtQ{7tQ9v>7pMmno0T za#!&TI0^TcnSf_L zCTXn0Qi+x$v&uuy;)$i8p95CwG`3m60`gMW2)R|k6!y;UOTm<8OnEez!a&}=E0BV` zcNf`JXvc3*K)O#)*vMAB-ojE?<)rVVmw1+D|NT0cou8KhPh%yJA<;f-A@knnx9^A# z$#jgwMO*zzN~!?SxnF%gO6`kJrxyv8}dp)93ZuS0FlZBpUWb?gXDzTe6x8 zR*AA^XzY^}|hpDy&DIFPS0a7uwZxvxEk124+D z;MI}8v9crZTr!t`Gs5M+qqGub`xArw0Vix6YJc4?i&<8llV6W@Nu(+%5iww1G?v%K}^F3iGNVy#ovIqXNuT6 z_W_|ih*)kxqD@LPnMBP}L^xa`hEpZ59n=s;65*R`;a)YFWh~x+))-ErEzclI6;OO# zN9n!8dKo~h>^P8>%;jHvX1)fZgxsMDlLc)ii;d#F|N0u-ZcK4Q#BJw;7{Y2IoE=1n zj&Xz`k84HIr5ci^Q8YLuRcIMdkHjUQy?6!=IM;hs5hnFQ5MClz!)7X+vC&`IXT1n5j*YG9CG znvW){QMsoG=0ZpOQHLq_{R-(%eaRe#4pb4{RKyV~q6bA>=!+1bGli-3MLfO=td8+S z&;eD%o8a!|tBCK{iQf4t;zJb}rHDVS@^T?ST)o|Zh+Y)2TriOfRtyz!E=8=tlo)a` zOGOM+5jU%dAr$d|FG7Hr>uf;8=U;%;a8CrwMMeAy?(Tn7#LX(=5*4wJiny90j`c+d z5c8A`h`5;|T6iK@$y7uIMRZgVhp32ADxzH9#!y7fm7bvlh=J_}MC?Hk&j=BVCYw@Re zE)@o`! zdmn<+SXmEnE}6@}e_?~rzU+_Uy=SjM6Vw^Db_5