diff --git a/sections/soc/soc.tex b/sections/soc/soc.tex index c8d8505..3f9b129 100644 --- a/sections/soc/soc.tex +++ b/sections/soc/soc.tex @@ -149,7 +149,7 @@ The driver is designed to be attached to external circuitry that provides color The LEDs are controlled using a simple one-wire serial protocol. After a reset (long period of logic 0), the data for all LEDs is transmitted serially in one single blob. Each LED consumes and stores the first 24 bits of the stream and applies them as its color value (8 bits each for red, green, blue), all following bits are passed through unmodified. The second LED thus uses the first 24 bits of the stream it receives, but since the first LED already dropped its data, these are actually the second set of 24 bits of the source data. -Every bit is encoded as a period of logic 1, followed by a period of logic 0. The timing of these sections determines the value, see \ref{fig:ws2812_timing}. +Every bit is encoded as a period of logic 1, followed by a period of logic 0. The timing of these sections determines the value, see \autoref{fig:ws2812_timing}. The exact timing differs between models, so all periods can be customized using generics in the VHDL entity. diff --git a/sections/vhdl_intro/vhdl_intro.tex b/sections/vhdl_intro/vhdl_intro.tex index f185710..f202cb1 100644 --- a/sections/vhdl_intro/vhdl_intro.tex +++ b/sections/vhdl_intro/vhdl_intro.tex @@ -59,7 +59,7 @@ gtkwave counter_tb.ghw counter_tb.gtkw \begin{figure} \includegraphics[width=\textwidth]{counter_gtkwave.png} -\caption{Screenshot of the resulting waveform in GTKWave} +\caption{Screenshot of the counter test bench waveform in GTKWave} \end{figure} \section{Synthesizing a design}